在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: hit0821201

[求助] DC综合问题

[复制链接]
发表于 2014-11-14 21:24:29 | 显示全部楼层
回复 2# everhappy
版主,问个问题啊,手册上说:
high_fanout_net_threshold,这个变量是用来指出,如果net的扇出个数超过指定值,那么他就是高扇出,同时drc检查,还有延迟计算都是这
个数值计算,但是时间上net上的扇出是没有变的。high_fanout_net_pin_capacitance,结合 high_fanout_net_threshold使用的,当net的扇出超过threshold,那么net上的负载等于这2个数值的成绩。

结果是这样设置后,clk的延迟确实小了,我想问:这样改后是不是相当于在DC阶段修改了电路(比如插入buffer等)?

这和手册上之前说的一段话不符合啊。是这样说的:

dc中对于高扇出net的处理,基本就是加buffer(前提,如果没有对这条net设置一些约束,比如set_ideal_network,set_dont_touch,后面会讲到),以此来减少cell输出端的负载,从而减少transition time 和delay time,以及max_capacitance。而事实上我们是不希望dc这么处理的,我们希望的是可以在后端版图的时候让后端工具自己加buffer,因为我们不知道真实的high fanout net上的RC,所以不知道应该加怎么样的buffer,dc只是根据互联线模型来计算RC,接着加入buffer,不是真实的,只有布线以后我们才可以得到几乎真实的rc

请问这个怎么理解
发表于 2015-11-18 19:50:31 | 显示全部楼层
谁那里能帮我写一个set_max_delay
发表于 2016-6-18 09:27:45 | 显示全部楼层
顶贴赚积分
发表于 2016-9-25 11:00:51 | 显示全部楼层
dskjggasd
发表于 2016-9-26 08:55:36 | 显示全部楼层
谢谢lz
发表于 2017-4-13 16:45:41 | 显示全部楼层
学习了,谢谢
发表于 2017-4-24 10:20:48 | 显示全部楼层
怎么导出.def文件
发表于 2017-7-16 15:51:45 | 显示全部楼层
回复 35# warmheard


   不用把.v读进去吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 12:35 , Processed in 0.029885 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表