|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
top端口定义有data_out,finish,done。data_out为数据输出端口,finish为是否开始存入txt的使能端口,done为全部存入的结束使能端口。
data_out初始为0,mem【】内已存入值
- always @ (posedge clk)
- ...(初始化)
- else
- begin
- if(finish)//开始传数据
- begin
- data_out <= mem[cnt];
- if(cnt == 17'd76799)//数据完全导出
- begin
- done <= 1'b1;
- end
- else
- cnt <= cnt + 1'b1;
- endend
复制代码
在testbench中
- integer w_file;
- initial
- begin
- w_file = $fopen("result.txt");
- end
-
- always @(posedge clk)
- begin
- if(finish)
- $fdisplay(w_file,"%d",data_out);
- if(done)
- $stop;
- end
复制代码
为何存入的第一个值一直是0 |
|