在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4299|回复: 8

[求助] 在DFT时,同一时钟不同沿(mix_edges)间要插latch吗?

[复制链接]
发表于 2012-11-27 19:20:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 lk120 于 2012-11-27 22:02 编辑

DFT时,同一时钟不同沿(mix_edges)间要插latch吗?

用RTL Compiler,工具文档说用分两种情况:

下降沿在前, 上升沿在后,不用加latch
上降沿在前, 下升沿在后,要加latch的
这究竟有什么区别呢??

各位大神,到底用不用插入呀!!
发表于 2012-11-27 20:14:16 | 显示全部楼层
本帖最后由 sjtusonic 于 2012-11-27 20:16 编辑

回复 1# lk120


posReg->negReg的话:
  上升沿时,posReg的Q端更新,其老的值却不能被negReg采(因为是neg才采样),这样就丢失了。
negReg->posReg的话:
  上升沿时,negReg的Q端不更新,posReg采样不会有问题,下降沿时,negReg更新也不会有问题,这样就没问题。


不插latch的话,产生测试向量的软件能看到这里会丢失,所以不会有问题,但会影响到测试覆盖率。
 楼主| 发表于 2012-11-27 22:01:21 | 显示全部楼层
本帖最后由 lk120 于 2012-11-27 22:13 编辑

回复 2# sjtusonic

谢谢!!
刚才看DC文档也提到这个问题了!!
方法同RC一样!!

可不可以这样理解:
posReg->negReg的话:
在正脉冲来时,p的更新的数据在同一个时钟周期内n抓到!!
这样的表现正是hold violation的体现!!
所以可以加一个lockup器件delay一个周期而解决这个问题!!
发表于 2012-11-28 08:43:52 | 显示全部楼层
回复 3# lk120


    对的,类似hold violation的数据丢失现象。
发表于 2012-11-29 20:25:11 | 显示全部楼层
在综合的时候综合根据会自动判断吧,好像设置某个属性后就可以了;
发表于 2020-11-25 13:35:21 | 显示全部楼层


大佬,我在set_scan_configuration -mix_clock mix_edges选项,负沿触发的DFF并没有被前移到扫描链前端,负沿DFF在扫描链中的位置还是在posedge触发器后面是怎么回事?
发表于 2020-11-25 13:36:32 | 显示全部楼层


大佬,我在set_scan_configuration -mix_clock mix_edges选项,负沿触发的DFF并没有被前移到扫描链前端,负沿DFF在扫描链中的位置还是在posedge触发器后面是怎么回事?
发表于 2021-3-10 12:08:44 | 显示全部楼层


绅士呵呵 发表于 2020-11-25 13:36
大佬,我在set_scan_configuration -mix_clock mix_edges选项,负沿触发的DFF并没有被前移到扫描链前端, ...


想问一下负沿DFF没移到前面,然后正沿和负沿DFF之间有加lockup latch么。我理解的是,工具要么把负沿DFF全部串到chain的最前面,如果串在中间,就要在正沿DFF和负沿DFF之间加lockup latch来保证data正确性和timing收敛。

发表于 2023-9-10 19:07:19 | 显示全部楼层


pg_pg 发表于 2021-3-10 12:08
想问一下负沿DFF没移到前面,然后正沿和负沿DFF之间有加lockup latch么。我理解的是,工具要么把负沿DFF ...


SFF串chain的时候是由一个nice rule的原则,如果是同一个时钟的不同沿串chain,,,一般是下降沿在前面,上升沿在后面,这样能保证每一拍SFF都能接受到数据。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 12:41 , Processed in 0.030718 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表