在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8426|回复: 11

[求助] 异步fifo综合,时钟怎么约束?

[复制链接]
发表于 2012-10-29 14:06:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟在综合异步fifo,有两个时钟:wclk,rclk;两个复位:wrst_n,rrst_n。我迷糊了,在脚本里定义时钟该怎么写create_clock -period $clk_period -name "clk" [get_ports $clock_name]?
发表于 2012-10-29 14:19:41 | 显示全部楼层
分别定义2个时钟,然后时钟相互set_false_path;
 楼主| 发表于 2012-10-29 14:23:33 | 显示全部楼层
回复 2# cnasic@163.com


    是这样吗,create_clock -period $clk_period -name "wclk" [get_ports $clock_name]
                  create_clock -period $clk_period -name   "rclk" [get_ports $clock_name]
                  set_false_path [get_ports $clock_name]

                  ?
发表于 2012-10-29 14:27:46 | 显示全部楼层
在这里说了用处不大, 你还是自己去查阅一下怎样定义SDC, 弄清楚各项命令, 在这样在熟悉
发表于 2012-10-29 14:28:59 | 显示全部楼层
set_false_path -from wclk -to rclk
set_false_path -from rclk -to wclk
 楼主| 发表于 2012-10-29 14:30:45 | 显示全部楼层
回复 4# 教父


    这个说的很在理,我之前综合单时钟时SDC部分老是搞不明白,你能否发份相关定义SDC的资料给我,邮箱是ym520zw@163.com,谢谢了
发表于 2012-10-29 14:39:44 | 显示全部楼层
回复 6# 日月木易


    http://www.chalayout.com/Article/物理版图/201006/20100628144437.html 这里有个链接 你自己慢慢看哈
 楼主| 发表于 2012-10-29 14:42:15 | 显示全部楼层
回复 7# 教父


    非常感谢
 楼主| 发表于 2012-10-29 14:54:56 | 显示全部楼层
回复 5# cnasic@163.com


    非常感谢
发表于 2012-11-1 00:59:31 | 显示全部楼层
2楼5楼正解
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 15:45 , Processed in 0.031588 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表