在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 19862|回复: 23

[讨论] 第一次用ICC,Fanout太大导致了Transition Violation的问题

[复制链接]
发表于 2012-9-18 07:07:22 | 显示全部楼层 |阅读模式
200资产
本帖最后由 729050850 于 2012-9-23 15:02 编辑

ICC布线后有Transition Violations,在版图中高亮NET之后发现扇出太大,一条线大约驱动几十个Standard Cell,是否可以像EDI一样在图形界面中把扇出网络分成几组,每组都插入一个Buffer修Transition Violation?    如果可以在图形界面中修,应在ICC哪个菜单下如何操作?   或者如果用命令修的话,应使用哪些命令?希望大侠们不吝赐教啊

最佳答案

查看完整内容

有transition violation很正常,violation的值有多大?什么PIN出现的violation?需不需要修掉? 这些问题确认下。因为ICC与PT的correlaion不好的话,在ICC中存在的violation说不定在PT中就没了。 一般解决办法: 1、可以在opt时将max_fanout值设小点,max_transition值比target值也设小点 例如transition的目标值是3ns,ICC设定max-transition时设为2.7ns。 2、resize 驱动,加入buffer 3、减小Fanout 4、减小距离、靠近配置 ...
发表于 2012-9-18 07:07:23 | 显示全部楼层
有transition violation很正常,violation的值有多大?什么PIN出现的violation?需不需要修掉?
这些问题确认下。因为ICC与PT的correlaion不好的话,在ICC中存在的violation说不定在PT中就没了。

一般解决办法:
1、可以在opt时将max_fanout值设小点,max_transition值比target值也设小点
     例如transition的目标值是3ns,ICC设定max-transition时设为2.7ns。
2、resize 驱动,加入buffer
3、减小Fanout
4、减小距离、靠近配置
发表于 2012-9-18 10:53:05 | 显示全部楼层
同求解答
 楼主| 发表于 2012-9-18 13:55:58 | 显示全部楼层
本帖最后由 729050850 于 2012-9-23 15:00 编辑

谢谢你的热心回复啊,我还有点问题和你学习学习
1.max-transition,我查看*.lib文件,默认的max-transition是4ns,二维查找表中transition的最大值是6ns,我在约束文件中设置max-transition为3ns,目的就是把约束设置苛刻一点更好的去满足目标约束            max_fanout这个约束,你通常设置多少啊?
2.如果线比较短,Upsize前一级;如果线比较长,线中点插入驱动能力中等大小的Buffer.
3.你所说的减小Fanout,具体的方法是什么啊?是把扇出网络分成几组,每组都插入驱动能力中等大小的Buffer吗?我以前用过EDI9.1在图形界面中把扇出网络分成几组,每组都插入一个Buffer修Transition Violation,修复效果很好,假如一条线驱动50个Cells,为了修Transition,每个CELL前都插入一个BUFFER,就得插入50个BUFFER,BUFFER插入得太多了,其实把50个CELL分成4组或者5组,每组前都插入一个驱动能力中等大小的BUFFER就差不多了,我现在主要是不知道在ICC中怎样把大的FANOUT网络分组,在图形界面下或者用哪些命令分组?
4.你所说的减小距离、靠近配置        因为布局之后Standard Cells,已经有了具体的位置,人只能对Standard Cells的位置进行微调,很难大范围调整位置
欢迎大家积极探讨啊
发表于 2012-9-18 14:07:33 | 显示全部楼层
估计设置有问题,理论上自动修的。
发表于 2012-9-18 16:03:15 | 显示全部楼层
本帖最后由 xjg@hmes 于 2012-9-18 16:13 编辑

回复 4# 729050850
"
1、你的tansition有点严格了,这样级数会多的。fanout一般40吧。
看看你的psynopt_high_fanout_legality_limit设定的值是多少?感觉是你的设定出问题。

3、你试试create_buffer_tree命令看看,可能没有EDI那样的功能,但解决原理应该一样的。

4、移动距离只是其中一种很少用的方法,且很有局限性,不常用,不适合大量violation。
发表于 2012-9-19 09:26:36 | 显示全部楼层
绕线后再用focal_opt 的修drc的option修一下
约束没问题的话,会给你修的
 楼主| 发表于 2012-9-19 17:29:21 | 显示全部楼层
本帖最后由 729050850 于 2012-9-22 22:19 编辑

谢谢楼上几位大侠的热心回复啊,前端电路人员希望FANOUT小一点,他设定psynopt_high_fanout_legality_limit的值是5,我用create_buffer_tree,focal_opt 命令多次处理之后还是有6   或7条NET的FANOUT是32左右不能优化掉,后来man   insert_buffer这条命令的解释,它有一个OPTION是devide_load_by    denominator, 把denominator设置成4 或5等,软件会自动把大FANOUT 分成4或5组,  相当于EDI的分组功能
 楼主| 发表于 2012-9-22 22:07:55 | 显示全部楼层
回复 7# damonzhao


    版主你好,这个问题已解决了,但是编辑了帖子标题      【已解决】,最后帖子后边还是显示  未解决      怎样操作才能把悬赏的200分分给回答问题的人啊?
发表于 2012-9-23 12:27:03 | 显示全部楼层
你给人家评分后就变成【解决】了吧

每个楼层都有个评分那项吧,你点一下试试,就有窗口给钱了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 12:38 , Processed in 0.029212 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表