在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 11109|回复: 11

[讨论] 几个时钟的cts spec file

[复制链接]
发表于 2012-7-12 16:22:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
这儿有几个时钟:
create_clock [get_ports cclk]  -period 16  -waveform {0 8}
set_clock_uncertainty -setup 0.2  [get_clocks cclk]
set_clock_uncertainty -hold 0.5  [get_clocks cclk]
create_clock [get_ports mclk]  -period 16  -waveform {0 8}
set_clock_uncertainty -setup 0.2  [get_clocks mclk]
set_clock_uncertainty -hold 0.5  [get_clocks mclk]
create_clock [get_ports tck]  -period 40  -waveform {0 20}
set_clock_uncertainty -setup 0.2  [get_clocks tck]
set_clock_uncertainty -hold 0.5  [get_clocks tck]
create_clock [get_ports int_clk]  -period 400  -waveform {0 200}
set_clock_uncertainty -setup 0.2  [get_clocks int_clk]
set_clock_uncertainty -hold 0.5  [get_clocks int_clk]
create_clock [list [get_ports tck] [get_ports mclk] [get_ports cclk]]  -name mux_clk  -period 16  -waveform {0 8}  -add
set_clock_uncertainty -setup 0.2  [get_clocks mux_clk]
set_clock_uncertainty -hold 0.5  [get_clocks mux_clk]
create_clock [list [get_ports tck] [get_ports mclk] [get_ports cclk] [get_ports user_clk]]  -name wake_clk  -period 16  -waveform {0 8}  -add
set_clock_uncertainty -setup 0.2  [get_clocks wake_clk]
set_clock_uncertainty -hold 0.5  [get_clocks wake_clk]

生成的CTS spec file文件为:
ClkGroup
+ cclk
+ mclk
+ tck
+ user_clk
#------------------------------------------------------------
AutoCTSRootPin user_clk
Period         16ns
END
#------------------------------------------------------------
AutoCTSRootPin int_clk
Period         400ns
END
#------------------------------------------------------------
AutoCTSRootPin tck
Period         16ns
END
#------------------------------------------------------------
AutoCTSRootPin mclk
Period         16ns
END
#------------------------------------------------------------
AutoCTSRootPin cclk
Period         16ns
END


我的问题是这个spec file 对吗?
那个ClkGroup有用吗?
设置false_path需要5个clk之间相互设吗?那要设多少个呀?
发表于 2012-7-12 16:36:31 | 显示全部楼层
看时钟树什么结构再说
 楼主| 发表于 2012-7-12 18:48:17 | 显示全部楼层
回复 2# damonzhao


    看什么结构啊?这个CTS spec file 里的时钟是根据前面的时钟信息(sdc里的)自动生成的。不明白,前辈,请赐教呀!
发表于 2012-7-12 20:38:32 | 显示全部楼层
相互没有物理连接,或者false path的clock之间不需要group在一起,其他的需要
 楼主| 发表于 2012-7-12 22:56:54 | 显示全部楼层
回复 4# 陈涛


   陈版主啊,那后面两个时钟,他没有port端口,那这两个时钟怎么处理呀?
发表于 2012-7-13 07:39:22 | 显示全部楼层
从SDC看,每个clk都有port,为什么到了ctstch,就少了?你要自己去找原因
正确的情况是,它们都一致
发表于 2012-7-13 09:49:29 | 显示全部楼层
回复 3# 龙溪小泮


   时钟的结构图得搞清楚。
常规来讲,false path的是不需要inter-balance的
 楼主| 发表于 2012-7-16 11:14:12 | 显示全部楼层
回复 4# 陈涛


    陈版主,再问一下:
create_clock [list [get_ports tck] [get_ports mclk] [get_ports cclk]]  -name mux_clk  -period 16  -waveform {0 8}  -add
create_clock [list [get_ports tck] [get_ports mclk] [get_ports cclk] [get_ports user_clk]]  -name wake_clk  -period 16  -waveform {0 8}  -add
这两个clk是内部clk,是不是应该用create_generated_clock -master_clock [list *]呀?
发表于 2012-7-16 11:33:42 | 显示全部楼层
有这个可能,这个要由前端决定
发表于 2014-5-30 22:34:43 | 显示全部楼层
回复 4# 陈涛
问下版主,在CTS的时候做clkgroup的目的是什么?资料里也没有怎么看到,还有哪些时钟之间需要做clkgroup,做与不做之间有什么区别,分频时钟之间需要吗?
谢谢!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 03:04 , Processed in 0.028045 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表