在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4822|回复: 18

[求助] verilog设计风格的问题

[复制链接]
发表于 2012-6-6 16:24:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 holliwood 于 2012-6-7 23:46 编辑

always@(posedge clk or negedge rst_n)
  if(!rst_n)
  else
这样写是不是不好,nlint检查时总是报warning说rst_n既是reset信号又是置位信号,如果必须要用复位置数应该怎么写

发表于 2012-6-7 09:01:57 | 显示全部楼层
初看没有问题哦。但是你下面是如何写的很关键了。最好写全。
 楼主| 发表于 2012-6-7 12:50:30 | 显示全部楼层
回复 2# duan_dzj 就是if(!rst_n)下面对一个寄存器赋初值了
发表于 2012-6-7 16:40:20 | 显示全部楼层
回复 1# holliwood


    rst_n==1'b0
发表于 2012-6-7 16:48:41 | 显示全部楼层
本帖最后由 sh_dong1 于 2012-6-7 17:14 编辑

rst_n==1'b0?赋值用<=
一般没人会在这里对rst_n赋值吧?rst_n作为复位信号可以在这里直接赋值么?不是太明白
期待高人解答
 楼主| 发表于 2012-6-7 23:40:55 | 显示全部楼层
回复 4# zongkai2003
试过效果是一样的
 楼主| 发表于 2012-6-7 23:41:47 | 显示全部楼层
回复 5# sh_dong1
那个是判断,不是赋值
发表于 2012-6-8 08:07:58 | 显示全部楼层
这两个检查规则没必要启用。

“复位”成0是reset,“复位”成1是set(或称preset)。下面那个报警是指rst_n为低时有些寄存器被置0,有些被置1。
发表于 2012-6-8 08:48:17 | 显示全部楼层
回复 7# holliwood

不好意思,看错了,还以为说的是if(!rst_n)

  rst_n==1'b0;
发表于 2012-6-8 09:18:01 | 显示全部楼层
没有什么问题,本来就是把它当异步复位信号来使用,只不过是你的复位的值不一定都是0,可能也有1。这些warning不要紧的!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 01:17 , Processed in 0.030244 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表