在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: holliwood

[求助] verilog设计风格的问题

[复制链接]
发表于 2012-6-8 09:20:10 | 显示全部楼层
应该不是这个原因引起的
发表于 2012-6-8 09:42:15 | 显示全部楼层
回复 1# holliwood


   仅是告诉你用了异步复位而已,没什么问题。
发表于 2012-6-8 09:47:23 | 显示全部楼层
在fpga里面,最好采用下列风格描述代码,不知道你在什么环境下编译了。不过我用下面风格描述,没有发现上述问题了。另多句嘴,在fpga里面信号多用高电平为有效,不要用低电平为有效。
always @(posedge clk or posedge rst) begin
     if (rst == 1'b1) begin
         { 复位赋值逻辑}
     end
     else begin
          if (......) begin
              ........
          end
          else begin
               .......
          end
     end
end
发表于 2012-6-8 18:58:50 | 显示全部楼层




  FPGA内部寄存器是异步复位低有效,同步复位高有效。你从ChipPlanner或者PlanAhead点一个寄存器进去看看端口就知道了。
发表于 2012-6-9 00:45:07 | 显示全部楼层
always@(posedge clk )
  if(!rst_n)
  else

(or negedge rst_n )不需要加上
发表于 2012-6-9 06:58:24 | 显示全部楼层
这个问题不是局部问题,是你在设计里对rst_n信号的使用方法不同,有的用了置位用的用做复位。
alint的log文件里会分两类列出置位复位的触发器,看一下就知道了。
发表于 2012-12-30 13:39:32 | 显示全部楼层
看看333
发表于 2012-12-30 19:36:34 | 显示全部楼层
一楼的写法不仅没有问题,而且是ASIC设计推荐的coding style;
报错检查一下是不是里面的逻辑问题
发表于 2012-12-30 22:29:17 | 显示全部楼层


FPGA内部寄存器是异步复位低有效,同步复位高有效。你从ChipPlanner或者PlanAhead点一个寄存器进去 ...
Timme 发表于 2012-6-8 18:58




具体还是要看用的哪个fpga器件:
1.xilinx从6系列开始,内部寄存器的异步复位端口只支持高电平,如果要低电平异步复位,综合器会自动增加一个lut取反再接入寄存器里使用,但是6系列之前是内部寄存器的异步复位端口支持高电平或者低电平的。

2.altera内部寄存器的异步复位端口一直支持高电平或者低电平的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 05:05 , Processed in 0.026366 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表