在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: hexuezu

[求助] 关于ddr2 controller仿真的问题,望解惑,感激不尽

[复制链接]
 楼主| 发表于 2012-3-19 20:31:36 | 显示全部楼层
回复 20# catcat_2 在仿真里边,local_burstbegin一直是高电平,真正做设计的时候是不是也可以这样,还是说只有写数读数的时候才把这个信号拉高?
发表于 2012-3-20 08:50:09 | 显示全部楼层
local_burstbegin 不能一直是高电平,要看local_ready的状态。
1.jpg
 楼主| 发表于 2012-3-22 20:14:57 | 显示全部楼层
回复 22# catcat_2 在测试向量里,inout类型该怎么处理,用tri可以吗?顺便,能把你的工程和测试向量发过来吗,感激不尽
发表于 2012-3-23 14:30:47 | 显示全部楼层
回复 23# hexuezu


    没太明白你的问题,测试向量? inout ? 如果是ddr2的输入输出管脚,那你直接连过去就是了。。

    如果是数据总线的inout,那应该是个三态逻辑,xilinx 你直接用iobuf就可以了。altera 没有这种东西,你要自己design一个三态逻辑,参考如下代码:


tristate.vmodule Tristate (in, oe, out);

    input   in, oe;
    output  out;
    tri     out;

    bufif1  b1(out, in, oe);

或者双向数据总线

bidir.vmodule bidirec (oe, clk, inp, outp, bidir);// Port Declaration

input   oe;
input   clk;
input   [7:0] inp;
output  [7:0] outp;
inout   [7:0] bidir;

reg     [7:0] a;
reg     [7:0] b;

assign bidir = oe ? a : 8'bZ ;
assign outp  = b;// Always Construct

always @ (posedge clk)
begin   
      b <= bidir;   
      a <= inp;
end
endmodule
 楼主| 发表于 2012-3-24 08:36:07 | 显示全部楼层
本帖最后由 hexuezu 于 2012-3-24 08:38 编辑

回复 24# catcat_2 就是说,我在一个顶层模块里,要把两个小的模块连在一起,而这两个小的模块中有的管脚是inout类型的,那我在这个顶层模块中怎样定一只个连接信号。例如:
    module top(……);
……
driver driver(.dq(top_dq),//模块例化,就是这块的top_dq信号在module top中怎么处理


);
……
ddr2 ddr2(.mem_dq(top_dq)
);
……
endmodule
还有就是,能不能把你仿真的工程传给我,在这先谢谢你了
发表于 2012-5-5 19:02:13 | 显示全部楼层
LZ,问个问题啊,仿真大概要run多久啊?我跑了很久然后看信号都是高阻,为啥?不胜感激。
文件全部是自动生成的,我只是在example_top里面拉出个local_init_done,它一直是高阻的。。我都无语了。。
 楼主| 发表于 2012-5-7 20:13:00 | 显示全部楼层
回复 26# shiftc
好像是20us吧,还是200,忘了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 14:59 , Processed in 0.026609 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表