在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3134|回复: 2

[求助] ICC中关于clock tree查看的问题。

[复制链接]
发表于 2012-1-10 15:39:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
设计中包括sdc中有clock和generated clock,cts和route之后,在菜单的“clock”下面查看时钟树,只有原始clock,没有generated clock,该如何查看generated clock?
打开ingeractive CTS window窗口查看,能看到generated clock,但是level都是“0”,和用report_clock_tree命令report的结果不一样。
问题描述的太乱了,有高手能指点一下吗?
发表于 2012-1-10 16:11:41 | 显示全部楼层
楼主是朝朝哥?
这个不太会,帮顶
 楼主| 发表于 2012-1-10 16:13:19 | 显示全部楼层
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 11:46 , Processed in 0.041199 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表