在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2831|回复: 4

[求助] 请教一个create_generated_clock的问题

[复制链接]
发表于 2012-1-6 16:42:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如果一个时钟clk1是另一个时钟clk_source分频产生的,分频系数可以通过寄存器配置,即是动态的;
这种情况下面可以将clk1设置为clk_source的generated_clock,可以吗?
发表于 2012-1-6 17:11:18 | 显示全部楼层
可以,同时把所有可能的分频时钟GROUP在一起,千万不要覆盖了哈
 楼主| 发表于 2012-1-6 17:54:31 | 显示全部楼层
谢谢jun_dahai ,如果我是256种(1..256)分频,能不能给种脚本的写法
发表于 2012-1-12 10:48:43 | 显示全部楼层
create_generated_clock -name DIV_CLK -divide_by 1 -source <pin_name> SRC_CLK
把最快的时钟设上去就可以了,低速的化问题不大,PT最后check以下就ok了
发表于 2012-1-20 17:57:48 | 显示全部楼层
回复 4# zhustudio


    这个设置是对,同时注意是在DC中还是PT中(可以是不一样的)
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 03:22 , Processed in 0.029862 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表