|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
本帖最后由 sweeting 于 2011-11-1 11:31 编辑
时钟clk ,分频clk_div2,clk_div3,clk_div4 分频时钟之间互斥,分频时钟与clk需要分析时序关系,分频位例化clk_buf
sdc:
create_clock -name clk -period 100 [get_ports clk]
create_gegerated_clock -name clk_div2 -source clk -master_clock clk [get_pins clk_buf/Y] -devide_by 2 -add
create_gegerated_clock -name clk_div3 -source clk -master_clock clk [get_pins clk_buf/Y] -devide_by 3 -add
create_gegerated_clock -name clk_div4 -source clk -master_clock clk [get_pins clk_buf/Y] -devide_by 4 -add
set_clock_groups -physically_exclusive -name phy_excl_2 -group[get_clocks clk_div2] -group [get_clocks clk_div3 clk_div4]
set_clock_groups -physically_exclusive -name phy_excl_3 -group[get_clocks clk_div3] -group [get_clocks clk_div2 clk_div4]
set_clock_groups -physically_exclusive -name phy_excl_4 -group[get_clocks clk_div4] -group [get_clocks clk_div2 clk_div3]
设置变量
set timing_enable_multiple_clocks_per_reg true |
|