在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: sweeting

[求助] 时钟约束问题

[复制链接]
 楼主| 发表于 2011-11-1 15:39:54 | 显示全部楼层
分频时钟自己这样是没问题;可clk与clk_div2,clk与clk_div3,clk与clk_div4之间,好像不行哦。

例如:
clk_div2、clk_div3下降沿数据,clk上升沿来采;
clk_div2下降沿10ns, clk_div3下降沿15ns,clk上升沿20ns,分析结果就不一样了
 楼主| 发表于 2011-11-1 17:13:42 | 显示全部楼层
本帖最后由 sweeting 于 2011-11-1 17:17 编辑

谢谢楼上兄弟帮忙~

在clk_buf/Y create_clock还是不好办,
source delay 要根据实际来调,分频时钟设置还是个事。

你说的最小公倍数,应该是问题所在。
我实际设置的分频从clk_div 2 — 8,导致倍数很高。
PTE-016 information   base period调到多少
PTE-053 warning :    (设置clk_div8时,就多了这条warning)
   pt limits the waveform expansion of the smallest period to be no more than 1000 times.
   and the waveform expansion of the largest period to be no more than 101 times.

目前解决方法: clk_div8基本不用,决定不约束clk_div8了。不设置clk_div8,倍数就少了,就不会有expandable问题。

再次感谢大家帮忙~~~
发表于 2012-11-1 17:06:00 | 显示全部楼层
老兄问题解决了吗,怎么解决的,能分享一下吗?谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-24 04:28 , Processed in 0.017115 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表