在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3363|回复: 5

[原创] 试用日记~

[复制链接]
发表于 2011-10-15 12:42:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
     10月初参加了这个使用实验板的体验活动,应该是最后一个报名,最后一个接收到实验板的了。开始的时候是惊喜,而后确是一丝的迷茫与担心。20天的时间说长不长说短不短短,希望可以在这20天时间里,通过这个好机会,对FPGA嵌入式有更深入的了解。

10月13日
     今天在qq群里看到了jack说由于一位前辈时间紧,试用缺一个人,我急忙跟jack说想要参加,想不到jack欣然答应了,嘿嘿,灰常灰常高兴。想到过几天实验板就要邮来了,参加这个比赛再获个奖什么的,嘿嘿~~O(∩_∩)0
     还看了看eetop上关于LX9的一些资料。开始以为理解起来会很困难,想不到avent的参考资料如此的通俗易懂。基本不用灵格斯,完全可以理解。而且还配有清晰插图。理解起来很easy。
     不过也挺愁的,本人刚刚读小硕,但整体的项目还没有经历过。FPGA、verilog接触也有两年多了,但跑操作系统的嵌入式还没玩过。究竟在这个实验板上做完成哪些东西还真没有目标。想想要考试了,还得争取拿到奖学金,还有导师安排的任务,,还有这个,挺愁人滴~!

10月14日
      板子下午到了,但正在上课,发短信让朋友去取。下课后急忙奔赴朋友实验室,迫不及待的打开,竟然有这么小的FPGA开发板!还没我的手机大!可以放在笔袋中啊,呵呵!简单看了一下随实验板的一些说明,晚上按照了ISE12.4,下载了USB-UART的驱动,明天开始测试。板子还不是自己的,别给弄坏弄丢了,这几天就一直放笔袋中,随身携带吧,嘿嘿,这么小!

10月15日
      早6点半起床,按照U80_CP210x_Setup_Guide_1_1.pdf逐步按照完驱动,按照U80_CP210x_Setup_Guide_1_1.pdf开始测试。以前用过串口调试助手,第一次使用超级终端,以前都是看老师们在用,感觉很高深,自己用过感觉不过如此。开始输入不了命令,设置了本地回显,就好了。测试了led、DDR、GPIO、SPI、CDCE913。感觉不错,20天后一定要跑起来自己的操作系统。回去取了网线,测试了webserver。按照各种说明安装USB-JTAG需要的驱动。各种说明很详细,很适合我这种初学者~!说的这么明白,原来调板子也是一件如此幸福的事!

安装顺序:
ise
usb-uart驱动
digilent adept
plug-in

     驱动安装过程出现问题了,总是提示找不到cable。这期间"digilent adept","plug-in"重装了N多次。后来终于发现问题出在iMPACT中"cable setup",对话框中的"digilent_plugin"应该是自己输入的,而不是在下拉菜单中默认的。哎,其实在configuration_guide中说的很明白,但没有注意到type这个词,所以导致了这个错误,以后一定要细心。这期间至少花费了2个多小时。已经12点15了,食堂快没饭了,得去吃饭了。虽然是周六,但下午还有课,晚上继续。要考试了,想想就愁~~~哎~~~
发表于 2011-11-8 16:44:39 | 显示全部楼层
还有不?期待后文
发表于 2011-11-11 11:35:20 | 显示全部楼层
cable部份真的要格外注意,期待樓主的分享
发表于 2011-11-11 12:49:26 | 显示全部楼层
回复 3# jackking0204


    http://xilinx.eetop.cn/viewnews-851
发表于 2011-11-11 23:30:45 | 显示全部楼层
回复 4# jackzhang


   看來OpenRISC又多了片支持的板呢XD
发表于 2011-11-19 13:51:20 | 显示全部楼层
哇,羡慕LZ.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 06:10 , Processed in 0.023948 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表