在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: X6J6P6

[求助] 关于max_capacitance和min_capacitance的问题?

[复制链接]
发表于 2011-8-10 23:45:59 | 显示全部楼层
回复 10# chibijia


    一般来说端口设紧点也没什么问题  因为一般子模块端口输入都会接1级buffer  当然如果你要从理论上研究  设的顺序倒一下应该就可以了
先设current design 后设port
    哪个更紧用哪个的意思应该是对于不同的约束方式,同一种约束方式是可以覆盖的
发表于 2011-8-11 22:47:02 | 显示全部楼层
谢谢大家的解答。
发表于 2014-11-12 19:26:26 | 显示全部楼层
回复 4# icfbicfb
Use the set_max_capacitance command to specify a capacitance value on input ports or
designs. This value should be less than or equal to the max_capacitance of the pin driving
the net.

这是手册里的原话,意思应该是set_max_capacitance不是用来约束输入端口的,只是来计算输入端口的电容或者load的大小,对吧
那我的问题是 max_capacitance of the pin driving the net 指的是什么,max_capacitance指的是此cell上一级cell输出端口的(max_fanout)吗
发表于 2014-11-12 19:35:14 | 显示全部楼层
回复 12# chibijia
lz你理解了吗 我还是不太理解为什么 set_max_capacitance为什么是针对 input ports的;set_max_capacitance和set_fanout_load的区别是什么呢,我知道 set_fanout_load是约束 output ports的
那 set_max_capacitance怎么就成了约束input ports的啦
发表于 2015-12-5 22:59:36 | 显示全部楼层
我也有这个疑问,综合的时候工具是根据什么来计算输入端口实际的电容的?
我现在设定 set_max_capacitance 1 $all_inputs_ex_clk
结果report_constraint –all_violators
出现了:
max_capacitance

                             Required        Actual
   Net                      Capacitance    Capacitance       Slack
   -----------------------------------------------------------------
   rst_n                        1.00           1.39          -0.39  (VIOLATED)
   sig_i                        1.00           1.39          -0.39  (VIOLATED)

   -----------------------------------------------------------------
   Total                      2                  -0.78  

超出了我设定的1,现在是1.39,这个1.39是如何计算的,根据WLM来算?
发表于 2015-12-5 23:00:55 | 显示全部楼层
回复 4# icfbicfb
我也有这个疑问,综合的时候工具是根据什么来计算输入端口实际的电容的?
我现在设定 set_max_capacitance 1 $all_inputs_ex_clk
结果report_constraint –all_violators
出现了:
max_capacitance

                             Required        Actual
   Net                      Capacitance    Capacitance       Slack
   -----------------------------------------------------------------
   rst_n                        1.00           1.39          -0.39  (VIOLATED)
   sig_i                        1.00           1.39          -0.39  (VIOLATED)

   -----------------------------------------------------------------
   Total                      2                  -0.78  

超出了我设定的1,现在是1.39,这个1.39是如何计算的,根据WLM来算?
发表于 2015-12-22 15:46:39 | 显示全部楼层
回复 1# X6J6P6


   好问题,好问题,给你顶起来
发表于 2021-5-21 16:22:44 | 显示全部楼层
学习中,很多概念还有点懵
发表于 2021-6-24 09:59:13 | 显示全部楼层
我的理解是,input_port用set_driving_cell,output_port用set_load约束,set_max_capacitance [current_design]是对整个设计的pin net的负载约束,不知道对不对
发表于 2021-6-24 10:27:44 | 显示全部楼层


lzqxiang 发表于 2021-6-23 19:59
我的理解是,input_port用set_driving_cell,output_port用set_load约束,set_max_capacitance [current_de ...


正解,set_driving_cell, set_load是现在流行的约束方法。


您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-21 00:34 , Processed in 0.027682 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表