在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2655|回复: 8

[求助] modelsim仿真的问题

[复制链接]
发表于 2010-11-3 11:14:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我编写了一个很小的文件,用modelsim仿真,发现输出始终为0,不知道为什么?代码如下:
被仿真代码:
module Devider(
clkout,
clkin,
fast,
slow
);
input clkin;
input fast;
input slow;
output clkout;
reg  clkout;
reg  [3:0] counter;
always @(posedge clkin)
begin
if(fast == 1)
  counter <= counter + 2;
  else
   if(slow == 1)
     counter <= counter;
     else
      counter <= counter + 1;
if(counter <= 4)
  clkout <= 1;
  else
   clkout <= 0;
end
endmodule

testbench:
`timescale 100ns/10ns
//`include "Devider.v"
module T_Devider;
  reg clkin;
  reg fast;
  reg slow;
  wire clkout;
  
  Devider dev(clkout,clkin,fast,slow);
  
  initial
    begin
      clkin = 0;
      forever #0.5 clkin = ~clkin;
    end
  
//  Devider dev(clkout,clkin,fast,slow);
   
//  always #0.5 clkin = ~clkin;
  initial
    begin
      fast = 0;
      slow = 0;
      #800 fast = 1;
      #100 fast = 0;
      #1000 slow = 1;
      #100 slow = 0;
    end
  initial
  begin
    $monitor($time,,,clkin,,,fast,,,slow,,,clkout);
    #10000 $stop;
  end
endmodule  

望高手帮帮忙看看,谢谢!
发表于 2010-11-3 12:39:56 | 显示全部楼层
你的测试平台提供的时钟是不是产生出来了呢?看代码好像没有什么问题,就是不知道时间精度这个地方是否正确。
发表于 2010-11-8 23:42:31 | 显示全部楼层
程序本身有问题。 没有复位信号,ALWAYS里面的语句没有执行。
发表于 2010-11-9 08:17:33 | 显示全部楼层
4楼说得对,程序没有复位信号,reg  [3:0] counter的初始值不定,所以是不会有输出的
上电时给reg  [3:0] counter确定一个值应该就可以了
发表于 2010-11-9 12:14:55 | 显示全部楼层
没有复位信号a!
发表于 2010-11-18 09:10:29 | 显示全部楼层
各位高手,我在modelsim仿真编译时,报错

`timescale 100ns/10ns  说时间精度 syntax error  unexpected “base”,expecting“class”
请问是怎么回事啊 急问啊??????
发表于 2010-11-18 12:13:36 | 显示全部楼层
回复 7# woosee


    我这边没有报错呀~~
发表于 2010-11-18 19:15:52 | 显示全部楼层
没有初始状态!!
发表于 2011-11-16 11:01:55 | 显示全部楼层
回复 7# woosee


    'timescale改为`timescale试试看
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 12:46 , Processed in 0.030818 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表