在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5719|回复: 25

[求助] 关于DC脚本中时钟分频的处理

[复制链接]
发表于 2010-9-29 23:14:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
外部引脚的时钟400M ,2分频生成200M
脚本:create_generated_clock -name clk_200 -source clk_400 -divide_by 2
为何DC显示:Error:Required argument 'source_objects' was not found (CMD-007)
发表于 2010-9-30 08:36:46 | 显示全部楼层
clk_400 must be port
发表于 2010-9-30 10:22:27 | 显示全部楼层
回复 1# weilin0215


    信春哥,得永生
发表于 2010-9-30 11:05:56 | 显示全部楼层
相当好
 楼主| 发表于 2010-10-6 00:19:13 | 显示全部楼层
回复 2# spikeyen


    啊~非常感谢~我回去看看,可能真是此原因~~
 楼主| 发表于 2010-10-8 12:23:17 | 显示全部楼层
回复 2# spikeyen


    我看过了,clk_400 是port没错。还有其他原因没??请大家帮帮忙~~
发表于 2010-10-8 15:26:46 | 显示全部楼层
clk_400 有没有定义?
发表于 2010-10-8 15:51:48 | 显示全部楼层
本帖最后由 ICS 于 2010-10-8 17:00 编辑

是不是要用[get_ports clk_400]接在clk_400后面?
发表于 2010-10-8 17:35:11 | 显示全部楼层
刚才去试验了一下,发现按照这样写可能就没问题。
create_generated_clock -name clk_200 -source clk_400 -divide_by 2 clk_200
或者
create_generated_clock -name clk_200 -source clk_400 -divide_by 2 [get_ports clk_200]
也就是说,如果用create_clock命令的话,那么最后面应该加上这个clock。不然会被错误的理解,比如把clk_400当成了要create的clock,从而报出说-source没有找到相应的object。
 楼主| 发表于 2010-10-8 23:46:29 | 显示全部楼层
回复 7# shxr


    有定义的~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 14:53 , Processed in 0.043206 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表