在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6789|回复: 11

[求助] 如何避免同时捕捉时钟的上升沿和下降沿?

[复制链接]
发表于 2010-7-27 11:28:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
想在clk的上升沿和下降沿各处理一些事件,如
process(clk)
begin
if clk'event and clk='1' then
b<=a;
elsif clk'event and clk='0' then
c<=a;
end if;
end process;
即上升沿和下降沿的事件互不相关,就是不会向同一个信号赋值。在quartus中能编译,仿真结果也没问题,但网上一直强调避免同时使用一个信号的上升沿和下降沿,鉴于此,问2个问题:1. 该例的方式是不是不对或不推荐?2. 该例怎样修改才能避免同时捕捉时钟的上升沿和下降沿?
发表于 2010-7-27 12:04:43 | 显示全部楼层
分为两个process应该可以。
发表于 2010-7-27 16:48:21 | 显示全部楼层
没有遇到过这样的
发表于 2010-7-27 16:49:40 | 显示全部楼层
一定要如此吗?可不可以改变设计
发表于 2010-7-27 17:02:19 | 显示全部楼层
2# gaurson 这样可以的
发表于 2010-7-28 12:43:42 | 显示全部楼层
谢谢支持。
 楼主| 发表于 2010-7-31 00:46:05 | 显示全部楼层
谢谢各位指点。本意是想在上升沿做一个计数器,然后在下降沿根据计数值输出脉冲,因为如果在上升沿输出的话会有大量毛刺,如果谁有更好的方法,请指点一二。
发表于 2010-7-31 06:41:06 | 显示全部楼层
不建议,在一个设计中同时使用时钟的上下沿,除非是DDR
发表于 2010-7-31 11:21:24 | 显示全部楼层
同步电路的优点就是抗毛刺
只要满足建立时间和保持时间
毛刺不怕
发表于 2010-7-31 18:33:23 | 显示全部楼层
同一楼上
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 17:56 , Processed in 0.023708 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表