在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2761|回复: 4

[求助] 移位寄存器有最大位数限制吗?

[复制链接]
发表于 2010-6-8 21:30:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位好,我想用移位寄存器实现并串转换,比如说并行输入的数据很长,100位这样的,能用移位寄存器实现吗?
例如用下面的语句:
shift_reg<=din;


dout<=shift_reg[0];
shift_reg[99:0]<=shift_reg[100:1];


能用这样的语句实现吗?我试了一下,好像不行
发表于 2010-6-8 22:30:55 | 显示全部楼层
dout<=shift_reg[0];
shift_reg[99:0]<={1'b0,shift_reg[99:1]};
发表于 2010-6-8 22:41:02 | 显示全部楼层
参考2楼
发表于 2010-6-9 01:18:58 | 显示全部楼层
顶楼上
 楼主| 发表于 2010-6-9 08:29:39 | 显示全部楼层
感谢关注,我认为上面的语句和我给出的语句没什么本质差别,都能实现移位
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 03:01 , Processed in 0.027206 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表