在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1702|回复: 2

[求助] 关于Verilog仿真时的报错,求大侠帮忙

[复制链接]
发表于 2010-6-6 12:51:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
WARNING: formal port 'clk' of module 'testbench' is not connected in component instance 'testbench'


这句话什么意思?
所有端口都已按照要求连上了
发表于 2010-6-7 11:45:57 | 显示全部楼层
有码没?把testbench中的clk端口去掉,定义一个reg clk给你的实体模块。
发表于 2010-6-7 22:03:05 | 显示全部楼层
你的CLK信号在编译时没有被接入到你的实体中,也就是说你的这个信号完全没有被用到,虽然你定义了。。。
但是接入时出错了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 22:38 , Processed in 0.020707 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表