在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: WEN_AMY

[原创] verilog可否综合的问题

[复制链接]
发表于 2010-6-8 11:51:21 | 显示全部楼层
Can try to use

generate
for (i=0; i<N; i=i+1 )
  always (   )
end
endgenerate
发表于 2010-6-8 23:04:26 | 显示全部楼层
for可以综合,
除非你for后面的代码是不可综合的
发表于 2010-7-27 13:25:00 | 显示全部楼层
可以综合, 但受到一定的限制.
发表于 2010-7-27 13:27:07 | 显示全部楼层
可以先写成展开的形式, 再用for改写.
发表于 2010-7-27 15:55:54 | 显示全部楼层
可不可以综合的问题不要死扣语法,想想你要实现的电路的大致物理结构就OK了
发表于 2010-7-27 15:56:52 | 显示全部楼层
具体情况具体分析吧

牢记你写的每句代码都是要用电路实现的

有些地方用for可以让代码看起来更简洁
发表于 2010-7-30 00:25:41 | 显示全部楼层
FOR只要用确定的数来控制好像就能综合
发表于 2010-8-31 20:34:10 | 显示全部楼层
for循环可综合成重复的硬件结构!
发表于 2010-9-1 01:17:22 | 显示全部楼层
这个可以综合
发表于 2010-9-1 19:14:24 | 显示全部楼层
学习了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 17:33 , Processed in 0.027538 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表