在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8956|回复: 25

奇数分频(例7分频)

[复制链接]
发表于 2004-11-15 17:54:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
对于任意奇数分频可以这样实现
module 7fenpin(clkin, clkout);

input clkin;
output clkout;
reg [2:0] step1, step2;
always @(posedge clkin)
begin
case (step1)
3'b000: step1<=3'b001;
3'b001: step1<=3'b010;
3'b010: step1<=3'b011;
3'b011: step1<=3'b100;
3'b100: step1<=3'b101;
3'b101: step1<=3'b110;
3'b110: step1<=3'b000;
default :step1<=3'b000;
endcase
end
always @(negedge clkin)
begin
case (step2)
3'b000: step2<=3'b001;
3'b001: step2<=3'b010;
3'b010: step2<=3'b011;
3'b011: step2<=3'b100;
3'b100: step2<=3'b101;
3'b101: step2<=3'b110;
3'b110: step2<=3'b000;
default :step2<=3'b000;
endcase
end
assign clkout=step1[2]|step2[2];
endmodule
发表于 2004-11-15 18:17:58 | 显示全部楼层

奇数分频(例7分频)

其实就是采用计数器分频
发表于 2004-12-10 15:13:07 | 显示全部楼层

奇数分频(例7分频)

老大,好像不对吧
发表于 2004-12-13 10:16:19 | 显示全部楼层

奇数分频(例7分频)

老兄,你这样做真的太浪费了,上升沿和下降沿都是一样的3bit计数器,你完全可以用下降沿把上升沿生成的信号打一拍嘛,另外计数器采用格雷码会好一些,虽然最后一个数会有多个bit翻转,但其它情形会好很多啊。
另外,我以前说过,如果对占空比没有太严格的要求,3/7、4/7的分频也可以啊,43:57的占空比完全符合40:60的要求了。这样就可以做到寄存器输出了。
注意是电路设计,不是代码设计。
发表于 2006-6-18 22:11:57 | 显示全部楼层

奇数分频(例7分频)

clkout好象要定义为REG型吧
呵呵 班门弄斧了
发表于 2006-9-12 16:45:54 | 显示全部楼层


原帖由 crst 于 2004-11-15 17:54 发表
对于任意奇数分频可以这样实现
module 7fenpin(clkin, clkout);

input clkin;
output clkout;
reg  step1, step2;
always @(posedge clkin)
begin
case (step1)
3'b000: step1<=3'b001;
3'b001: step1<=3' ...




如果CLKIN时钟有抖动怎么办?如果CLKIN出现毛刺又怎么办?这样得出的时钟不可靠!
发表于 2006-9-12 16:49:14 | 显示全部楼层


原帖由 bobo1982 于 2006-6-18 22:11 发表
clkout好象要定义为REG型吧
呵呵 班门弄斧了



为什么要定义为REG型,就应该是wire;reg型能assign吗?
发表于 2006-9-12 17:31:01 | 显示全部楼层
hehe, The rtl code maybe good.
头像被屏蔽
发表于 2006-9-15 01:38:30 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
发表于 2006-9-15 14:08:58 | 显示全部楼层
上述设计没有什么特别的,比较耗资源,最优的设计占用4个Reg。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-23 00:14 , Processed in 0.031106 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表