在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2785|回复: 4

过程(procedure)里面允许存在进程(process)语句吗?

[复制链接]
发表于 2004-7-23 12:05:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我想将一个延时电路放在一个过程当中,这样每次可以使延时的时间长短不同,但是延时单元里面存在进程,
还有在调用过程时,是否能够直接将'0'或'1'传递给过程?
如果过程的参数表中存在std_logic_vector,该怎么传递参数 ?
发表于 2004-7-26 19:12:11 | 显示全部楼层

过程(procedure)里面允许存在进程(process)语句吗?

还是自己编个小程序看看,不就知道了吗
发表于 2004-7-26 19:52:13 | 显示全部楼层

过程(procedure)里面允许存在进程(process)语句吗?

“菜鸟请教”说得对,但是我觉得好像不行
发表于 2008-5-7 11:05:38 | 显示全部楼层
我也有相同的疑惑,另外我还想知道函数中是否也不能使用process,还有过程和函数里能否实现时序电路?把老问题翻出来了,呵呵,高手请指教
发表于 2008-5-19 09:05:39 | 显示全部楼层
首先,你过程内的语句本省就是顺序语句,里面是不可能在加进程语句的!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 07:38 , Processed in 0.024610 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表