在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3803|回复: 9

求助关于CLK问题

[复制链接]
发表于 2009-4-21 15:25:42 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我将CLK-IN放在一个普通脚上,出现错误
A clock IOB / clock component pair have been found that are not placed at an optimal clock IOB /
但是我就像用这个脚的信号,我该怎么做?
下面是代码:
module clk_test(clk_in,h_in,v_in,clk_out,h_out);
    input clk_in;
    input h_in;
    input v_in;
    output clk_out;
  output h_out;
  reg clk_out;
  reg h_out;
  reg [7:0]counter;
always @ (posedge clk_in)
begin
  if (counter==19)
   begin
    clk_out = ~clk_out;
    counter=0;
    h_out=v_in;
   end
  else
   begin
    counter=counter+1;
    h_out=h_in;
   end
end
endmodule
发表于 2009-4-21 20:42:04 | 显示全部楼层

re

在ucf中加入约束条件:
NET "clk_in"  CLOCK_DEDICATED_ROUTE = FALSE;
将该error装换为warining 即可用
发表于 2009-4-21 22:03:21 | 显示全部楼层
等待解决
发表于 2009-4-22 15:37:39 | 显示全部楼层
最好的做法是把时钟输入管脚指定为专用的全局钟输入管脚,如果PCB已经不能修改了,只有采用2楼的方法了,不过时序上也许会有问题。
发表于 2010-8-2 10:54:26 | 显示全部楼层
我也遇到过这种问题,不知怎么解决
发表于 2010-8-24 23:50:12 | 显示全部楼层
今天我刚刚遇到这个问题,最后使用过在UCF中添加“NET "clk_in"  CLOCK_DEDICATED_ROUTE = FALSE;”语句最后问题解决。
发表于 2010-8-25 08:09:51 | 显示全部楼层
二楼的方法可行,我碰到的也是这么做
发表于 2010-8-25 10:06:20 | 显示全部楼层
多谢谢

收益良多
发表于 2010-8-25 16:55:05 | 显示全部楼层
学到了
发表于 2010-8-26 23:55:02 | 显示全部楼层
等待解决
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 03:25 , Processed in 0.028469 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表