|
楼主 |
发表于 2009-4-12 16:14:01
|
显示全部楼层
guoyu
你好,我照你的方法做,还是没有信号,现在付上图片,add8_test.v为测试add8.v的测试文件,右图为模
块add8.v的源文件,一个简单的8位加法器,可是add8_test.v却没有在object中显示信号,请问这是怎么
回事??????
下面付上add8_test.v的源代码:
`timescale 1ns/1ps
module add8_test;
reg [7:0] a,b;
reg cin;
wire [7:0] sum;
wire cout;
integer i,j;
add8 uut(.cin(cin),
.a(a),
.b(b),
.cout(cout),
.sum(sum));
initial
begin
a=0;
b=0;
cin=0;
end
initial
begin
for(i=1;i<20;i=i+1)
#10 a=i;
end
initial
begin
for(j=1;j<20;j=j+1)
#10 b=j;
end
initial
begin
$monitor($time,,,,,,"%d+%d+%b={%b,%d}",a,b,cin,cout,sum);
#300 $finish;
end
endmodule |
|