在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2250|回复: 3

verilog写的一段代码,行为仿真时有问题,大家帮忙解决一下

[复制链接]
发表于 2008-7-21 13:47:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
always @(posedge Clk or posedge ClearCount or posedge Reset)
  if(Reset == 1)
    XXXXXXXXXXXX
  else if(ClearCount == 1)
   Counter[2:0] <= 0;
  else
   begin
    # 1.2        //1.2ns
    Xor_Buf[7:0] <= {Xor_Buf[6:0] , IQ ^ LO};
    Counter[2:0] <= Counter[2:0] + 1;
    end
   endcase
   
always @(posedge IQ or posedge Reset)
  if(Reset == 1)
    XXXXXXXXXXXX
  else if(Counter[2:0] == 0)
    XXXXXXXXXXXX
  else
   begin
    ClearCount = 1;
    # 1.2;
    ClearCount = 0;
  end   
endmodule
代码如上,问题是寄存器clearcount置1时也就是clearcount的上升沿无法触发第一个always语句,请大家帮忙看一看,谢谢.

[ 本帖最后由 ksfblc 于 2008-7-22 01:58 编辑 ]
发表于 2008-7-21 15:30:43 | 显示全部楼层
第二个always 也要使用非阻塞赋值,不一定能解决问题。
发表于 2008-7-21 16:28:52 | 显示全部楼层
always中的条件应一致 。
发表于 2008-7-22 21:23:11 | 显示全部楼层
lz是不是要先考虑下.到底要做一个什么样的电路,
需要那些功能,先在纸面上搞清楚电路的基本结构
别老盯着代码.

否则代码 不出问题才怪.ls说的风格,lz确实...
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 18:27 , Processed in 0.022912 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表