在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] sram的light sleep状态控制 SGengiant 2023-11-21 3524 SGengiant 2024-1-2 16:38
[求助] 带macro的upf设计 SGengiant 2023-11-20 5494 SGengiant 2024-1-5 10:55
悬赏 [求助] 时序逻辑电路怎么设置他的初始状态 - [悬赏 300 信元资产] attach_img 想学ic 2023-11-20 1506 想学ic 2023-11-20 16:28
[求助] 如何写FPGA/verilog相关的专利啊 方出旭旭 2023-11-20 4529 霍格沃兹 2023-11-23 09:35
[求助] clock gating求助:哪些场景下会在rtl中例化门控时钟呢? Carl_0522 2023-11-18 3535 Carl_0522 2023-12-3 17:38
[求助] spyglass stopfile 和 ignorefile, stop和ignoredu什么区别 新人帖 sducut 2023-11-17 2522 daodaier 2023-11-17 16:25
[求助] gcc吞语句问题 新人帖 realom 2023-11-17 1364 AlvinRolling 2024-1-11 20:34
[求助] vcs加密rtl时怎样指定vivado 版本?加密后的vp文件是否可以综合? macherie 2023-11-17 0300 macherie 2023-11-17 11:03
悬赏 [求助] 请问理想CIC滤波器是怎么计算的? - [已解决] attach_img typhoon222 2023-11-16 4560 wufeng233 2024-1-9 10:34
[求助] VCS仿真问题 新人帖 attach_img xjtudddwww 2023-11-16 2433 weiyishh 2023-12-6 08:36
[求助] 关于set_clock_groups选项的疑问? 又来长脑了 2023-11-16 2398 flscut 2023-11-17 16:47
[求助] 亚阈值数字标准单元设计中的问题 attach_img wanlight 2023-11-16 1398 wanlight 2023-11-16 18:13
[求助] 关于dc报告中的input external delay yanvv 2023-11-15 1410 daodaier 2023-11-16 14:21
[求助] 怎么在Modelsim里使用Notepad++ nuobeierjiang 2023-11-15 2453 AlvinRolling 2024-1-11 20:32
悬赏 [求助] 求助,有人有opencores账号可以帮忙下载一份文件吗 新人帖 - [悬赏 20 信元资产] attachment ricer 2023-11-13 1613 innovation 2023-11-13 02:01
[求助] 关于UART波特率计数器的问题 新人帖 zyhwl 2023-11-11 3433 daodaier 2023-11-16 14:40
[求助] SRAM的选择(一颗大颗还是拆成多颗小颗) chian 2023-11-10 2525 zero_0 2023-11-10 11:26
[求助] 关于全分频电路时序约束问题 又来长脑了 2023-11-9 1336 zero_0 2023-11-10 12:04
[求助] 关于Verilog 累加器的编写 新人帖 attach_img 我想学IC 2023-11-9 1620 yasimi 2024-1-26 17:16
[求助] 急求DW_axi_x2x databook 新人帖 attachment menggujibaren 2023-11-9 2546 menggujibaren 2023-11-9 12:55
[求助] 关于时钟门控和分频的SDC约束问题 又来长脑了 2023-11-8 1522 zero_0 2023-11-10 12:19
[求助] 关于PLL约束问题 又来长脑了 2023-11-8 1405 zero_0 2023-11-10 12:21
[求助] 关于GTECH网表中reg pin口的疑问? 又来长脑了 2023-11-8 2360 chentb1990 2023-11-10 17:14
[求助] 静态时序分析的问题 geek86 2023-11-7 2449 2046 2023-11-7 20:45
[求助] Innovus中如何设置布线限制 LQY2000 2023-11-7 2519 Lotusy 2023-11-11 15:47
[求助] 关于create_clock和create_generate_clock时钟传递的问题? 又来长脑了 2023-11-6 2406 daodaier 2023-11-16 14:19
[求助] 近阈值技术,最高可以做到多少MHz的主频了? orientview 2023-11-5 0473 orientview 2023-11-5 10:19
[求助] 研二学生,不知道学习什么来找工作 海神波塞冬 2023-11-4 8839 767781537 2023-12-28 16:33
[求助] DC综合:[current_design] 包含的设计对象 Wade_ 2023-11-3 4573 Wade_ 2023-11-3 17:19
[求助] 关于SDC中时钟定义问题? 又来长脑了 2023-11-3 2426 又来长脑了 2023-11-6 10:05
[求助] 谁能给一个identify rtl debug 2019.03-SP1 版本的identify安装包 新人帖 liuyu_001 2023-11-3 1445 active 2024-3-22 17:56
[求助] 项目的数字时钟频率应该如何评估? hanx 2023-11-2 1521 树欲静 2023-11-3 09:59
[求助] spyglass报的error:clock_info05应该怎么解决 新人帖 attach_img  ...2 2441697316 2023-11-1 131292 2441697316 2023-12-19 18:48
[求助] 穿组合逻辑路径与时序路径有重合的时序约束 新人帖 零星大雨 2023-11-1 7519 nirvana_pear 2023-11-21 17:27
[求助] GPU IC设计问题 许learner 2023-10-30 2525 许learner 2023-11-1 15:35
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 13:56 , Processed in 0.045980 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块