在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (124) |订阅

后端资料区 今日: 0|主题: 5686|排名: 5 

1. 本版块严禁灌水!否则删除ID!
2. 附件大小从2011年1月2日起,已调整到15M,请上传附件分包大小设置到14M~15M内
本版置顶 隐藏置顶帖 [资料] 我的文档(后端设计相关文档、资料、工具等内容) attachment digest  ...23456..133 scuay 2012-8-23 1325187279 jarkybar 6 天前
      
[求助] 求个ts6 nm的pll New cpuxchange 昨天 22:39 032 cpuxchange 昨天 22:39
[资料] Calibre 中文教程(我所有的Calibre 资料了) attach_img  ...23456..86 strivenbu 2010-1-24 85099315 lnxmj 昨天 22:36
[原创] Mentor Calibre user guide attach_img  ...2 jiull 2017-6-15 196353 lnxmj 昨天 22:15
悬赏 [求助] 急求数字集成电路后端设计 田晓华版本的 PDF - [悬赏 100 信元资产] attachment  ...2 yjy123 2023-7-30 171577 313949724 昨天 22:04
[资料] 【2022年静态时序分析中文版】IC芯片设计中的静态时序分析实践.pdf attach_img  ...23456..7 邝卓宇 2024-1-1 692631 csfan007 昨天 22:04
用calibre做lvs的实用脚本 attachment  ...23456..23 holygun 2009-10-26 22338926 lnxmj 昨天 21:39
[资料] Static Time Analysis"STA" attach_img  ...23 smc1017 2023-3-11 211648 igolaps 昨天 20:36
[资料] TSMC的.13.工艺库文件 attachment  ...23456..26 南屏旧桥 2019-5-18 25258637 D.BY 昨天 19:46
[资料] 伟大的IP attach_img  ...23456..66 eda2022 2022-7-2 65425003 samA7 昨天 16:22
[原创] Formality 2018.06版本Workshop Student lab guide attach_img  ...23456..12 何军民 2021-5-11 11015259 ic886 昨天 12:42
[资料] dwc_SerDes 集合  ...23456..13 qtds1258 2022-7-25 1226063 tsmcrfic 昨天 12:03
[资料] Synopsys Design Compiler Chip Synthesis Workshop, Student Guide Slides, 2019 attachment  ...2 AndyBrown 2024-4-15 14455 hjiahu 昨天 11:41
悬赏 [求助] ICC打top_via在top_metal上时对不准怎么解决? - [悬赏 100 信元资产] attach_img New yyds760152 前天 17:38 173 maomao198477 昨天 11:36
[资料] ICC2的有关资料,ug,lab等等 attachment  ...23 海神波塞冬 2023-1-8 243525 海神波塞冬 昨天 09:32
[原创] DDR5/DDR4/MIPI-CSI-2 HOST CONTROLLER/Ethernet PHY(12G to 56G) SARDES/PCIE/HDMI/ADC/DAC/PLL/MIPI/MPPHY/USB  ...23456..32 iamtony 2022-1-23 31816754 wo_zwm 昨天 09:31
[资料] IC设计完整流程,快速入门 attachment  ...23456..44 wudi123 2022-9-26 43220161 zxcel 昨天 01:40
[原创] IC_Compiler_II 2018 Workshop & Student lab guide -2018 attach_img  ...23456..16 何军民 2021-5-14 15520059 zxcel 昨天 01:33
DSP芯片的DC综合脚本 attachment  ...23456..7 hitten 2009-9-19 6310209 ilxsh 昨天 01:14
[原创] 56G SERDES 数据表 attachment  ...23456..36 kahboone 2022-6-18 35317004 LOKQAQ 前天 23:22
[原创] 56G_SERDES 密码  ...23456..29 kahboone 2022-6-20 28214431 LOKQAQ 前天 23:19
[资料] Redhawk中英文手册 attachment  ...234 stefenxp 2023-6-1 313039 booml 前天 19:22
[资料] Innovus user Guide 19.1 attachment  ...23456..12 kimluanpklu 2020-8-1 11219881 alexfamn 前天 18:27
[资料] Tempus 14.1 documentation attachment  ...2345 johannes_hb 2014-10-15 4113362 墨默 前天 18:19
[资料] Clock Tree Synthesis attach_img  ...2345 smc1017 2023-5-14 423311 mingtutu 前天 17:57
[资料] FloorPlanning attach_img  ...234 smc1017 2023-3-9 333119 mingtutu 前天 17:28
[资料] 工艺库文件大全 attach_img  ...23456..29 南屏旧桥 2020-4-28 28741657 scq3708925 前天 17:27
[资料] ICC2后端lab整套资料 新人帖 attachment  ...23 better011 2023-4-24 233199 18701476997 前天 17:04
[求助] 最近在学习spyglass,遇到了问题 attachment  ...23456 ppp0221 2015-12-9 5517160 sky_5294 前天 16:32
[原创] cadence 版图层次快捷键设置 attachment JoshuaWind 2022-3-30 75178 microuser 前天 16:26
[资料] PLL设计关键基础因素及基本参数确定方法 attachment  ...234 icbbs 2011-4-3 305684 zz3476 前天 16:23
[资料] Synopsys® Multivoltage/Low Power Flow User Guide.2019.12  ...23456..20 qtds1258 2022-7-25 1959920 tolerate_tao 前天 15:59
悬赏 [求助] 大神仙们,求个redhawk-sc 的安装包,是带sc的 新人帖 - [悬赏 500 信元资产]  ...2 wing4001 2021-5-12 133657 booml 前天 15:33
[资料] innovusUG.2021.06  ...2345 qtds1258 2022-7-26 475229 照歌zZ 前天 15:00
经典——黑魔书[Johnson & Graham]High-speed Digital Design 中文版  ...23456..13 gogogo1 2008-9-5 12247012 binnq 前天 10:48
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 01:12 , Processed in 0.018607 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块