在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (126) |订阅

后端讨论区 今日: 4 |主题: 20902|排名: 8 

悬赏 [求助] 触发器的ck端设置ignore pin - [已解决] 罗蒙 2015-4-10 76864 罗蒙 2015-4-14 16:30
悬赏 [讨论] ICC 有哪些 command 可以 legalize placement 的 - [已解决] zero_0 2015-1-8 33456 qq1234 2015-1-22 21:21
悬赏 [求助] 继续65cln 求助 - [已解决] 矽阳 2012-12-22 86418 xxmule 2014-12-4 17:36
悬赏 [求助] CDL to Schematic in CADENCE with ports mismatched!!! - [已解决] attach_img xsjyahoo 2011-4-14 76989 axlrose2138 2014-11-21 07:57
悬赏 [活动] 每日一奖----20140507 - [已解决]  ...2 damonzhao 2014-5-7 125151 liuhaib11 2014-6-14 09:30
悬赏 [活动] 每日一奖----20140512 - [已解决]  ...2 damonzhao 2014-5-12 185219 damonzhao 2014-6-13 17:21
悬赏 [活动] 每日一奖----20140508 - [已解决] agree damonzhao 2014-5-8 62582 damonzhao 2014-6-13 17:12
悬赏 [求助] Linux系统内soc安装 - [已解决] attachment  ...2 tzz_hit 2014-4-4 123959 tzz_hit 2014-4-8 16:00
悬赏 [求助] skew特别大 可能的原因 - [已解决]  ...23 strivenbu 2012-3-20 219088 liangyi790328_8 2014-1-16 17:51
悬赏 [求助] 请问一下SMIC18库里的hercules的.drc和.lvs是怎么用的? - [已解决] hhcfclz 2013-11-1 53215 liping09003 2013-12-12 13:55
悬赏 [求助] DC 如何 按查看clock 上寄存器的数量 - [已解决] 995331220 2013-10-31 31782 yiyeyo 2013-11-1 13:35
悬赏 [求助] DC综合后发现net的延迟很大怎么修改 - [已解决] 武也锋 2013-8-16 52456 武也锋 2013-8-16 21:15
悬赏 [求助] Encounter怎么写布完线后电路的实际电容文件 - [已解决] 武也锋 2013-7-26 41677 武也锋 2013-7-26 16:41
悬赏 [求助] 请教一个问题:如何处理跨电压域的信号采样? - [已解决] jamesning 2013-5-4 11401 henryshen2000 2013-5-4 21:17
悬赏 [求助] 再求助 55nm - [已解决] 矽阳 2012-12-22 11614 netshell 2012-12-22 17:53
悬赏 [求助] formality求助 - [已解决] clean_water 2012-9-13 53907 A1985 2012-9-17 21:24
悬赏 [求助] PT报告时序遇到问题,求助! - [已解决]  ...2 zp_xd 2011-5-25 1211496 haier822 2011-12-6 14:13
悬赏 [求助] 悬赏求ASTRO 2007的lab 数据以及LG&SG(2000信元) - [阅读权限 255]- [已解决] attachment strivenbu 2011-6-14 774 ficai 2011-6-15 10:01
悬赏 [求助] 小弟本科集成电路专业,现在面临选择数字ic设计前端和后端的方向选择,求指教 - [已解决] ch_5588 2010-12-13 34304 ch_5588 2010-12-15 09:36
悬赏 [求助] 30信元求Encounter Digital Implementation System - [已解决] iuqq205 2010-7-30 24386 zzczx 2010-8-2 13:17
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 22:03 , Processed in 0.051739 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块