在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 0|主题: 6541|排名: 21 

[原创] 请教super.build() atlandis 2015-7-30 62225 A1985 2015-8-2 11:53
[原创] 非常好用的串口调试助手 新仔face 2015-7-18 01560 新仔face 2015-7-18 23:15
[原创] 搞不懂m_sequencer 和 p_sequencer的区别,请指教 atlandis 2015-7-8 22671 白云黄鹤 2015-10-3 16:23
[原创] IC验证工程师如何写专利  ...2 litterstrong 2015-7-1 127277 zuiqiangzhe 2021-5-19 10:44
[原创] 关于 sc_event_finder 的问题 asic_wang 2015-4-30 21956 asic_wang 2015-5-6 22:46
[原创] modelsim-win64-10.1c软件及破解方法 attachment kreil 2015-4-28 62544 modelsim64 2019-5-14 17:26
[原创] SV中如何调用数学函数? attach_img 长安客2014 2015-4-10 42321 长安客2014 2015-4-13 19:23
[原创] 实践systemverilog, UVM, python, perl, C++代码的好地方  ...23456 mayzhao 2015-2-2 5724636 mienj 2019-11-28 11:36
[原创] 随机类内部随机类成员不能randomize的问题  ...2 tbb2009 2015-1-25 114814 seabeam 2015-1-29 12:45
[原创] 关于SystemC中 sc_event_finder 类的使用 digest asic_wang 2014-11-4 85458 744160354peter 2015-1-5 19:32
[原创] sequence 模块的配置如何编写和解析 allencherry 2014-10-14 01611 allencherry 2014-10-14 16:05
[原创] 《UVM实战》24小时问答 attach_img digest  ...23456..43 doogo 2014-9-11 420124779 乒乓 2023-2-21 09:26
[原创] UVM1.1应用指南及源码分析 出版了,恭禧张强 attach_img  ...23 guolehaohao 2014-9-1 2312126 454520982 2016-8-11 11:02
[原创] DVClub Q3 event -- "Making Verification Debug More Efficient" rickyice 2014-8-28 02059 rickyice 2014-8-28 16:46
[原创] UVM factory 机制的简单实现(有代码) attachment hbhbts 2014-8-26 22331 sunjianty 2015-2-14 20:50
[原创] 工艺库格式的转换 Liz6868 2014-8-10 01389 Liz6868 2014-8-10 15:42
[原创] 后端设计几个基本概念 bruce384 2014-7-28 01766 bruce384 2014-7-28 21:45
[原创] 关于UVM中C model的理解和Cookbook中如何引入C_based_stimulus 完整实例 attachment leapoo 2014-7-25 23449 琴声悠扬 2020-11-9 15:13
[原创] Trial Run INCISIVE SOCV Kit attach_img  ...2 niulinux 2014-7-24 176767 Desingman 2017-10-22 17:45
[原创] NCverilog仿真 Liz6868 2014-7-22 42016 wfjx_1985 2023-8-8 14:37
[原创] Task里的阻塞赋值和非阻塞赋值 长安客2014 2014-7-21 33202 长安客2014 2014-8-4 23:03
[原创] ic设计之验证 luowentaotao 2014-7-17 42085 armoric 2014-7-20 20:00
[原创] UVM/OVM中的factory---------个人总结 digest  ...23456..10 asic_wang 2014-7-9 9955017 eaglezhang01 2024-4-4 15:40
[原创] verilog HDL Liz6868 2014-7-3 21594 tianrongcai 2014-7-3 18:20
[原创] UVM1.2 will be released at 8:00 am Pacific time. rickyice 2014-6-24 62890 h85079505 2018-3-1 09:27
[原创] 新手求教一个简单的双口ram问题 bruce384 2014-6-10 01686 bruce384 2014-6-10 15:39
[原创] 求教访问底层信号问题 长安客2014 2014-6-7 21961 长安客2014 2014-7-21 22:45
[原创] 求问个语法问题哈 youngrady 2014-6-3 01651 youngrady 2014-6-3 21:50
[原创] DVClub 上海验证俱乐部2014第二季度活动在线注册开始啦 rickyice 2014-6-2 52670 rickyice 2014-6-6 14:10
[原创] 关于uvm report机制 hbhbts 2014-5-30 46431 mianyang12345 2017-12-16 00:09
[原创] synopsys vcs求助  ...2 bruce384 2014-5-17 135381 keenforce001 2015-9-15 15:51
[原创] 说来惭愧,小弟做验证,用的是SV,但什么UVM.OVM之类从来没用过  ...2 alexztenuaa 2014-5-17 106229 naonaoli 2018-5-9 16:56
[原创] 反馈与运放 attach_img 403557730 2014-5-16 22095 403557730 2014-5-17 11:25
[原创] UVM的疑惑 atlandis 2014-5-12 42530 atlandis 2014-5-19 13:55
[原创] vcs vlogan help bruce384 2014-5-11 23069 bambucha2007 2014-6-4 00:35
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 02:46 , Processed in 0.019944 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块