在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2932|回复: 5

[讨论] 关于“面试小考题, 有图有真相!”的建模问题

[复制链接]
发表于 2012-1-17 17:30:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 zzczx 于 2012-1-17 17:38 编辑


http://bbs.eetop.cn/thread-317783-1-1.html
的讨论有点看法,想要验证一下。
决定弄个网表test.vo,用的是smic .18里面的D触发器和AOI。来实际跑一下。
现在有些sdc不知道怎么写,请大家指教。
c1 和 c2 这个要怎么约束才能起到5ns和8ns的延迟,怎么强制D触发器的setup时间为我要的值,怎么样强制AOI的A到Z的cell delay 为0.5ns.
我用set_max/min_delay来设置的话,report_timing的时候,end_point就变成只到AOI的A输入口了。
求指导。

eetop.cn_1.JPG




  1. test.vo
  2. module test ( clk1, clk2, A, c2, Q );
  3.   input clk1, clk2, A, c2;
  4.   output Q;
  5.   wire   ff1_q, ff2_d;

  6.   FFDQHD1X ff1 ( .D(c2), .CK(clk2), .Q(ff1_q) );
  7.   AOI21HD1X U2 ( .A(A), .B(ff1_q), .C(),.Z(ff2_d) );
  8.   FFDQHD1X ff2 ( .D(ff2_d), .CK(clk1), .Q(Q) );
  9. endmodule



复制代码




  1. read_verilog test.vo
  2. link
  3. create_clock -name clk1 -period 10  [get_port clk1]
  4. create_clock -name clk2 -period 40  [get_port clk2]
  5. set_clock_uncertainty -setup 0.050 clk1
  6. set_clock_uncertainty -setup 0.200 clk2
  7. set_false_path -hold -from clk1 -to clk2
  8. set_false_path -hold -from clk2 -to clk1
  9. set_input_delay -clock clk2 1 [get_port A]
  10. set_max_delay -from [get_port c2] -to [get_pin  ff1/D] 5
  11. set_min_delay -from [get_port c2] -to [get_pin  ff1/D] 5
  12. set_max_delay -from [get_port A] -to [get_pin  U2/A] 8.5
  13. set_min_delay -from [get_port A] -to [get_pin  U2/A] 8.5


复制代码
 楼主| 发表于 2012-1-17 17:41:39 | 显示全部楼层
本帖最后由 zzczx 于 2012-1-17 17:42 编辑

这边max_delay 看起来用的不对。不知道该用什么命令。求助。 谢谢



  1. Point                                    Incr       Path
  2.   ---------------------------------------------------------------
  3.   input external delay                   1.0000     1.0000 r
  4.   A (in)                                 0.0000     1.0000 r
  5.   U2/A (AOI21HD1X)                       0.0001     1.0001 r
  6.   data arrival time                                 1.0001

  7.   max_delay                              8.5000     8.5000
  8.   output external delay                  0.0000     8.5000
  9.   data required time                                8.5000
  10.   ---------------------------------------------------------------
  11.   data required time                                8.5000
  12.   data arrival time                                -1.0001
  13.   ---------------------------------------------------------------
  14.   slack (MET)                                       7.4999



复制代码



这边max_delay 看起来用的不对。不知道该用什么命令。求助。 谢谢



  1. Point                                    Incr       Path

  2.   ---------------------------------------------------------------

  3.   input external delay                   1.0000     1.0000 r

  4.   A (in)                                 0.0000     1.0000 r

  5.   U2/A (AOI21HD1X)                       0.0001     1.0001 r

  6.   data arrival time                                 1.0001



  7.   max_delay                              8.5000     8.5000

  8.   output external delay                  0.0000     8.5000

  9.   data required time                                8.5000

  10.   ---------------------------------------------------------------

  11.   data required time                                8.5000

  12.   data arrival time                                -1.0001

  13.   ---------------------------------------------------------------

  14.   slack (MET)                                       7.4999


复制代码
 楼主| 发表于 2012-1-18 00:11:55 | 显示全部楼层
得到指点了。 应该使用set_annotated_delay  这个命令。明天实际跑下看看
发表于 2012-1-18 08:37:09 | 显示全部楼层
回复 3# zzczx


    支持楼主,
 楼主| 发表于 2012-1-18 09:10:09 | 显示全部楼层
用 set_annotated_delay/check.算出来结果是-0.2ns.
那个主考官搞错了。
  Startpoint: A (input port clocked by clk2)
  Endpoint: ff2 (rising edge-triggered flip-flop clocked by clk1)
  Path Group: clk1
  Path Type: max

  Point                                    Incr       Path
  ---------------------------------------------------------------
  clock clk2 (rise edge)                 0.0000     0.0000
  clock network delay (ideal)            0.0000     0.0000
  input external delay                   1.0000     1.0000 f
  A (in)                                 0.0000     1.0000 f
  U2/Z (AOI21HD1X)                       9.0000 *  10.0000 r
  ff2/D (FFDQHD1X)                       0.0000    10.0000 r
  data arrival time                                10.0000

  clock clk1 (rise edge)                10.0000    10.0000
  clock network delay (ideal)            0.0000    10.0000
  clock uncertainty                     -0.0500     9.9500
  ff2/CK (FFDQHD1X)                                 9.9500 r
  library setup time                    -0.1500 *   9.8000
---------------------------------------------------------------
  data required time                                9.8000
  data arrival time                               -10.0000
  ---------------------------------------------------------------
  slack (VIOLATED)                                 -0.2000
发表于 2012-1-18 13:22:44 | 显示全部楼层
顶楼主的认真!
其实不用那么费劲,具体延迟多少都可以,主要是看工具是怎么算的,然后自己再用题目的数值算一遍就得了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-2-19 09:33 , Processed in 0.022288 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表