在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (124) |订阅

后端资料区 今日: 4 |主题: 5693|排名: 10 

1. 本版块严禁灌水!否则删除ID!
2. 附件大小从2011年1月2日起,已调整到15M,请上传附件分包大小设置到14M~15M内
公告 公告: 大附件建议上传在云盘,然后分享链接(可以自行设定下载积分) jackzhang 2024-2-27    
全局置顶 隐藏置顶帖 低功耗芯片设计研讨会 | 5.29上海、6.5武汉、6.12深圳(免费 参会有好礼) attach_img jackzhang 2024-5-16 23302 jing_guo 昨天 23:30
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 814557 hzmscut 前天 16:35
全局置顶 隐藏置顶帖 ISSCC2024 Session Digest PPT Short-Course  ...23456 james2007 2024-2-23 5229937 xder4213 2024-5-16 11:36
全局置顶 隐藏置顶帖 忆阻器、第三代半导体等半导体材料与器件讲坛(资料+视频) jackzhang 2024-3-8 013126 jackzhang 2024-3-15 09:26
本版置顶 隐藏置顶帖 [资料] 我的文档(后端设计相关文档、资料、工具等内容) attachment digest  ...23456..133 scuay 2012-8-23 1326189920 IC设计初学者 2024-5-17 17:12
  版块主题   
[资料] ICC(Workshop & Lab & Update training ) attachment  ...23456..12 mingboshui 2015-8-12 11823487 QIYANZHU 6 小时前
[资料] IC Compiler II 2021最新user guide 合集 attach_img  ...23456..8 peacepark 2021-11-30 7512345 QIYANZHU 6 小时前
[资料] DesignWare Cores DWC DDR PHY Compiler  ...2345 qtds1258 2022-7-25 433660 joshua2784920 7 小时前
悬赏 [资料] RTL到GDSII的数字全流程设计工艺库 - [悬赏 500 信元资产] 海神波塞冬 2024-5-17 6352 海神波塞冬 9 小时前
[原创] SYN0PSYS ATPG with TetraMAX lab guide & Student guide attach_img  ...23456..8 何军民 2021-5-21 7412715 qaz19980924 昨天 19:09
[资料] 数字IC后端的视频资料,包括前端综合、验证、DC使用、后端等,可用来入门 attach_img  ...23456..16 hurrywork 2019-11-10 15523393 2126271775 昨天 11:59
[资料] Innovus Text Command Reference Product Version 19.10 attachment  ...23 lizhibin8718 2021-4-14 297494 huxu0000 昨天 10:32
[资料] innovus UG attachment 乌拉啦 2023-11-20 2581 huxu0000 昨天 10:30
[求助] TSMC 40nm design rule 新人帖 attachment  ...2345 chmod 2021-12-7 429161 306170340 前天 23:27
[资料] cadence,工艺库大全,模拟和数字 attachment  ...234 18320463030 2019-9-26 337623 cunzhang3227 前天 23:26
[资料] 目前论坛里最全的库,包含tluplus、nxgrd、capTbl、lef、milkyway、ict、itf等文件 attachment  ...23456..52 liude19832006 2011-7-19 517105558 cunzhang3227 前天 23:25
[原创] DDR5/DDR4/MIPI-CSI-2 HOST CONTROLLER/Ethernet PHY(12G to 56G) SARDES/PCIE/HDMI/ADC/DAC/PLL/MIPI/MPPHY/USB  ...23456..33 iamtony 2022-1-23 32617722 cunzhang3227 前天 23:23
[资料] FloorPlanning attach_img  ...234 smc1017 2023-3-9 373532 306170340 前天 23:22
[资料] IC设计完整流程,快速入门 新人帖 attachment  ...23456 wudi123 2022-9-25 546170 306170340 前天 23:21
发帖挣点钱,cadence 45nm reference flow attachment  ...2345 xiaox 2009-5-27 4810669 cunzhang3227 前天 23:18
[资料] 工艺库文件大全 attach_img  ...23456..30 南屏旧桥 2020-4-28 29243142 cunzhang3227 前天 23:05
[资料] innovus资料-Floorplanning流程 attachment  ...23456..20 pluto666666 2017-6-24 19142340 cunzhang3227 前天 22:54
[资料] 数字前端 后端视频 DFT 网课视频数字IC,数字模拟  ...23456..56 ioll 2020-2-28 55555014 ziguangguoxin 前天 22:46
[资料] innovus-整体流程 attachment  ...23456..31 pluto666666 2017-6-24 30653988 cunzhang3227 前天 22:41
[资料] RedHawk training lab, lec00-12等flow, user manual 2015, redhawk explorer 新人帖 attach_img  ...23456..10 坐吃等死 2021-8-31 9816163 微电子好 前天 21:06
[资料] Synopsys Design Compiler Chip Synthesis Workshop, Student Guide Slides, 2019 attachment  ...2 AndyBrown 2024-4-15 16708 微电子好 前天 21:04
[资料] A Practical Guide to Low-Power Design attach_img agree  ...23456 固执的寻觅 2016-3-6 548426 Ralphjh 前天 17:58
[资料] DesignWare Cores Enhanced Universal DDR Memory Controller (uMCTL2)最新  ...23456..10 qtds1258 2022-7-25 996957 chings 前天 17:56
[原创] 56G_SERDES 密码  ...23456..31 kahboone 2022-6-20 30115814 jebtang 前天 17:41
[原创] 56G SERDES 数据表 attachment  ...23456..38 kahboone 2022-6-18 37018733 seemine 前天 17:19
[资料] Verdi® UVM Debug User Guide.2022.06  ...23456..8 qtds1258 2022-7-22 796341 alphaboy 前天 17:19
[资料] IC设计完整流程,快速入门 attachment  ...23456..45 wudi123 2022-9-26 44621937 噼里啪啦bomb 前天 15:45
[资料] Synopsys® Multivoltage/Low Power Flow User Guide.2019.12  ...23456..21 qtds1258 2022-7-25 20310571 trippa 前天 15:27
[资料] PrimeTime GCA User Guide 2016 attachment  ...2345 auster 2016-7-27 4316555 trippa 前天 15:25
[资料] 16G SERDES attach_img  ...23456..19 kahboone 2022-6-6 18117234 spencer129 前天 13:42
[资料] vcs , dc, tcl,fm pt user guide attachment  ...23456..13 xiaotuli 2019-7-31 12023494 ic886 前天 11:03
[资料] Innovus user Guide 19.1 attachment  ...23456..12 kimluanpklu 2020-8-1 11420688 juangil 前天 00:58
[求助] FinFET PDK files 新人帖  ...23 udaisai4148 2019-8-17 224165 falcon9 3 天前
[资料] Innovus User Guide Product Version 23.10 attachment  ...2 zpofrp 2024-4-15 14456 juangil 3 天前
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-26 17:39 , Processed in 0.017144 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块