在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6794|回复: 13

[求助] verilog中的延时问题

[复制链接]
发表于 2012-11-6 21:21:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
verilog中的延时(#time)是不可以综合的,但是下面的代码中的延时却是有作用的,怎样解释?
module ver(
          //output
          out1,
          out2,
          //input
          a,
          b
          );
  output out1;
  output out2;
  input  a;
  input  b;
  
  reg out1;
  reg out2;
  
  always @(a or b)
    begin
      out1=a;
      #10 out2=b;
    end
endmodule
发表于 2012-11-6 22:11:43 | 显示全部楼层
It's just for RTL level dynamic simulation, and it will be ignored by synthesizer
发表于 2012-11-6 22:22:32 | 显示全部楼层
仿真的时候延时都是有用的,综合的时候延时就会被忽略掉...
发表于 2012-11-7 09:11:33 | 显示全部楼层
虽然功能上#10是有效的,而且不可忽略!但是综合成电路的时候,#10应该是没有对应的电路的!
发表于 2012-11-7 13:40:22 | 显示全部楼层
#10 是不可综合的语法~~
发表于 2012-11-7 14:11:53 | 显示全部楼层
这是用来仿真的
发表于 2012-11-8 09:35:53 | 显示全部楼层
#可以仿真,不可综合
发表于 2012-11-8 09:48:18 | 显示全部楼层
可编译,可仿真,但被综合其忽略。综合后用生成的网表和SDF做个后仿真,就发现差别了
发表于 2012-11-8 15:41:01 | 显示全部楼层
这是告诉你接口信息,相对于模拟实际的输出情况
发表于 2012-11-9 01:29:07 | 显示全部楼层
仅用于仿真,实际中延时需要靠走线 或实际的逻辑单元
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 19:19 , Processed in 0.022374 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表