在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3965|回复: 10

[求助] 求助,modelsim时序仿真无波形

[复制链接]
发表于 2015-8-27 09:12:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
modelsim功能仿真有波形,时序仿真无波形。时序仿真log档中无error,只有warning,是关于altera jtage TDI,TDO。。

截图

截图
。的。截图附上。可见wave窗口中cursor无任何变量。请问高手这是什么原因?
 楼主| 发表于 2015-8-27 09:13:39 | 显示全部楼层
求助大神。。。。网上也没有相关问题....求助大神
发表于 2015-8-27 09:16:53 | 显示全部楼层
你没把信号加到波形窗口。把上图中中间那些信号加到右边去。
 楼主| 发表于 2015-8-27 09:28:38 | 显示全部楼层
回复 3# sme-ic

请问怎么加入?是改写testbentch还是鼠标拖进去?这个不是自动加入的吗?我记得功能仿真没有特意的去加入啊
发表于 2015-8-27 09:34:01 | 显示全部楼层
wave窗口中没有信号是因为你没有自己加
 楼主| 发表于 2015-8-27 09:35:24 | 显示全部楼层
回复 3# sme-ic

谢谢
   请问如何加入?是用testbench 还是 modelsim的设置?可以再详细一些吗?谢谢
我记得功能仿真时没有手动加入啊
 楼主| 发表于 2015-8-27 09:36:25 | 显示全部楼层
回复 5# qizhrj


   请问如何加入?可以再详细一些吗?感谢啊...
 楼主| 发表于 2015-8-27 09:38:02 | 显示全部楼层
回复 5# qizhrj


   我记得功能仿真时直接就出来了,不过当时是用的quatus直接调用modelsim做的。不过这个再时序仿真我也试了quatus直接调用modelsim,但还是没有波形。感谢您再说的详细一些,如何加入波形?
发表于 2015-8-27 09:44:52 | 显示全部楼层
再objects一栏选信号,然后右键,add wave
 楼主| 发表于 2015-8-27 10:32:39 | 显示全部楼层
回复 9# qizhrj


   感谢 感谢,我回去试一下,非常感谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-30 05:34 , Processed in 0.022482 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表