在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5398|回复: 5

[求助] 请教covergroup中参数类型的问题

[复制链接]
发表于 2014-2-12 19:28:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
菜鸟求助各位大侠。我现在要编写一个covergroup,这个covergroup我需要例化两次,分别作用于不同的模块A和B。比如:

wire [1:0] aaa = `TOP.A;
wire [1:0] bbb = `TOP.B;

covergroup my_covergroup(TYPE ccc)
coverpoint ccc{
  bins ccc = {2'b11};
}
endgroup

my_covergroup covera = new(aaa);
my_covergroup coverb = new(bbb);

请问这个TYPE应该定义为什么类型呢?
发表于 2014-2-12 21:44:52 | 显示全部楼层
用一个covergroup包含2个coverpoint不行吗
 楼主| 发表于 2014-2-13 12:51:48 | 显示全部楼层
回复 2# whxqq


   你说的那样是可以的。我这里只是举个例化两次的例子。实际应用中,我可能要例化32次。
发表于 2014-2-13 14:10:25 | 显示全部楼层
bit[1:0]?不知道楼主试过没?
 楼主| 发表于 2014-2-13 15:11:01 | 显示全部楼层
回复 4# 3721netboy


   试过bit了。不过好像bit只能赋值一次,也就是只能得到程序开始运行时的值。
发表于 2014-2-14 23:00:15 | 显示全部楼层
covergroup my_covergroup(ref bit [1:0] ccc);

这样可以吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 17:27 , Processed in 0.022406 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表