在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3911|回复: 4

[求助] monitor在时钟上升沿时得到的是前一拍还是变化后的值?

[复制链接]
发表于 2011-9-7 14:09:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
monitor在时钟上升沿时得到的是前一拍还是变化后的值?
发表于 2011-9-7 17:40:09 | 显示全部楼层
应该是变化前的值吧
发表于 2011-9-8 09:23:06 | 显示全部楼层
1、同步采样,如果定义了default input #setup_time,则采样点在时钟沿的前#setuptime处。
2、同步采样,如果未定义default input #setup_time或setup_time值为0,则采样点在时钟沿处。
3、即使采样点在时钟沿处,且驱动点也在时钟沿处(default output #0),也是先采样,再驱动。因为在同一个time slot中,同步采样先于同步驱动。
4、同样,同步采样和异步驱动如果在同一个时间点,同步采用先于异步驱动。
5、如果是异步采样,异步采样与同步驱动、异步采样与异步驱动的情况;记不清了,以前好像仿真发现VCS和Modelsim仿真结果不一样。我好像记得在VCS下,有种情况的异步采样会采到更新后的值的。
6、楼主所说的,如果驱动信号和采样信号都是同步的,那么采样值是变化前的值,无论定义的setup_time和hold_time是任何非负值。
发表于 2011-9-8 13:10:42 | 显示全部楼层
3楼说得很详细,也很在理。对于异步采样,VCS和modelsim也存在不一样的结果。
 楼主| 发表于 2011-9-8 13:33:05 | 显示全部楼层
回复 3# TommyGG

非常感谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 14:49 , Processed in 0.021617 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表