在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2377|回复: 6

请教一个VHDL的赋值语句

[复制链接]
发表于 2008-3-2 18:30:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
architecture xxx of key_file is
constant word: natural :=2;
constant bitn: natural :=16;
type reg_file_type is array (2**word-1 downto 0) of std_logic_vector(bitn-1 downto 0);
...
signal array_reg: reg_file_type;
...
begin
process (clk,reset)
     begin
           if (reset='1') then
                array_reg(3) <= (other=>'0'); --这行赋值语句是怎么执行的,other代表什么意思?先谢过
                ...
           elseif (clk'event and clk='1') then
                ...
           end if;
end process;
....
end xxx
发表于 2008-3-2 20:53:23 | 显示全部楼层
应该是others吧
发表于 2008-3-3 09:33:37 | 显示全部楼层
意识是对所有的寄存器单元在复位情况下清零
发表于 2008-3-7 21:24:42 | 显示全部楼层
全0的简洁写法
发表于 2008-3-12 16:21:13 | 显示全部楼层
就是其它啊?vhdl的教程上都有
发表于 2008-3-12 16:24:38 | 显示全部楼层
ones
zeros
others
发表于 2008-3-19 09:18:55 | 显示全部楼层
这是赋值的简洁的写法嘛
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 14:48 , Processed in 0.026056 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表