在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 378|回复: 5

[求助] IC设计到底用systemverilog还是Verilog

[复制链接]
发表于 2024-7-26 22:41:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
这个语言怎么选择
发表于 2024-7-26 22:46:16 | 显示全部楼层
方便测试就用systemverilog, Verilog是systemverilog的子集即systemverilog包含Verilog。
发表于 2024-7-27 14:54:05 | 显示全部楼层
可综合的都行
发表于 2024-7-27 16:31:07 | 显示全部楼层
Verilog
发表于 2024-8-1 03:03:27 | 显示全部楼层
如果是新的设计,就用systemverilog中的可综合的部分。
systemverilog还是可以省很多事情的:
1,更方便实现参数化的设置(或者可重配置设计)generate if
2,采用generate for loop节省代码量
3,采用interface节省端口。
发表于 2024-8-1 08:52:41 | 显示全部楼层
设计先学verilog,验证先学SV。两者都要会
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-8 07:51 , Processed in 0.015956 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表