在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 925|回复: 2

[求助] clock network delay 变化的原因

[复制链接]
发表于 2023-8-16 10:41:21 | 显示全部楼层 |阅读模式
10资产
在做CTS时遇到的一个疑惑:
在report_timing报告中,clock network delay是因为什么影响而改变的?
在initial design里面读完网表和制约时打的报告clock network delay才为0.5
在placement阶段里面clock network delay也为0.5
以上的clock network delay都为ideal
但是在CTS阶段clock network delay一下子就涨到2.91了,这时clock network delay为propagated
这个clock network delay可控吗?
后面发现有setup 违例,时钟路径上的器件incr很小,看来主要是clock network delay太大造成
有没有办法可以使得clock network delay变小?

最佳答案

查看完整内容

首先明确何为clock network delay,就是时钟physical source 到sink pin的delay. before CTS, clock tree没有长,clock net path没有,只能通过sdc约束或其他命令获取clock network delay. ideal下也可以有network delay。比如设置set_clock_latency 0.5 -source [get_clock clk],就可以让ideal时钟clk有0.5的network delay约束。 After CTS,电路有实际的clock net path,network delay = source latency + 时钟定义pin到si ...
发表于 2023-8-16 10:41:22 | 显示全部楼层

首先明确何为clock network delay,就是时钟physical source 到sink pin的delay.

before CTS, clock tree没有长,clock net path没有,只能通过sdc约束或其他命令获取clock network delay.

ideal下也可以有network delay。比如设置set_clock_latency 0.5 -source [get_clock clk],就可以让ideal时钟clk有0.5的network delay约束。

eetop.png

After CTS,电路有实际的clock net path,network delay = source latency + 时钟定义pin到sink pin的传播delay。
发表于 2023-8-16 11:23:25 | 显示全部楼层
clock之前你的时钟都是ideal的,那个0.5的delay应该是你自己标上去的input delay之类的东西,cts阶段是实际开始长tree的tree长,是真实的,你可以看一下是因为balance或者回路还是什么原因tree会这么长,或者看下clock transition等的设置,或者看下时钟路径上的cell选型,引起tree太长的原因是多样的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 22:08 , Processed in 0.021702 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表