在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: Roysunal

[讨论] useful skew

[复制链接]
 楼主| 发表于 2023-1-6 15:41:50 | 显示全部楼层


Lee_zhichao 发表于 2023-1-3 21:37
placej阶段开了early cts没, 向前向后借多少ps


没有,只用了place_opt_design,useful skew都是默认值,一般是往后借,一般都是几十p的样子
发表于 2023-2-4 14:33:07 | 显示全部楼层


Roysunal 发表于 2023-1-6 15:41
没有,只用了place_opt_design,useful skew都是默认值,一般是往后借,一般都是几十p的样子
...


我在项目中开过useful  skew,会导致时钟树插入大量的buf,导致浪费很多的面积。一般只有在时序工具处理不了了的时候,手动用useful  skew去处理异常时序,不然一般是都关闭的。
发表于 2023-2-4 17:37:52 | 显示全部楼层


Roysunal 发表于 2023-1-6 15:41
没有,只用了place_opt_design,useful skew都是默认值,一般是往后借,一般都是几十p的样子
...


看一下最长一条clock latency, 会不会把其他的也拉长了, 如是的话看能不能手动ignore或则early下。
发表于 2023-2-6 10:59:16 | 显示全部楼层


eeiclover 发表于 2023-2-4 14:33
我在项目中开过useful  skew,会导致时钟树插入大量的buf,导致浪费很多的面积。一般只有在时序工具处理 ...



这里讲述了useful skew
https://t.zsxq.com/0aFBQJyuK

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 02:31 , Processed in 0.019251 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表