在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4989|回复: 26

[求助] 求助Ubuntu 22.04 中 VCS 2018.09-SP2遇到undefined reference to `pthread_yield\'的错误

[复制链接]
发表于 2022-5-23 19:14:53 | 显示全部楼层 |阅读模式
60资产
本帖最后由 中文行吗 于 2022-5-24 18:44 编辑

问题:
在Ubuntu 22.04中用下面的指令运行VCS




  1. vcs -full64 -Mupdate=0 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,-no-as-needed tb_count.v count.v -R -timescale=1ns/1ns +v2k


复制代码
提示错误




  1. /usr/bin/ld: /SynopsysSoftware/VCS/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o: in function `SNPSee_907e539fb4bc8f7101eac356af4ce4ed':
  2. ../../OBJ/lib/saverestoreobj/obj-linux64/kernel.o:(.text+0xc41): undefined reference to `pthread_yield'


复制代码
请问各位大佬有什么方法解决嘛?不知道是哪里搞错了,感谢!另外,dve可以打开。

运行环境:
Win10,AMD 5800H,VM 16 虚拟机,Ubuntu 22.04,gcc有11和4.8版本的,VCS 2018.09-SP2


详细信息:




  1. $ vcs -full64 -Mupdate=0 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,-no-as-needed tb_count.v count.v -R -timescale=1ns/1ns +v2k

  2. *** Using c compiler gcc-4.8 instead of cc ...
  3.                          Chronologic VCS (TM)
  4.        Version O-2018.09-SP2_Full64 -- Sun May 22 12:27:13 2022
  5.                Copyright (c) 1991-2018 by Synopsys Inc.
  6.                          ALL RIGHTS RESERVED

  7. This program is proprietary and confidential information of Synopsys Inc.
  8. and may be used and disclosed only as authorized in a license agreement
  9. controlling such use and disclosure.

  10. Parsing design file 'tb_count.v'
  11. Parsing design file 'count.v'
  12. Top Level Modules:
  13.        tb_count
  14. TimeScale is 1 ns / 1 ps
  15. Starting vcs inline pass...


  16. Warning-[MKE_MUDT] Old makefile exists
  17.   Makefile 'csrc/Makefile' exists. Use -Mupdate to force overwrite.

  18. 1 module and 0 UDP read.
  19.         However, due to incremental compilation, no re-compilation is necessary.
  20. gcc -w  -I/SynopsysSoftware/VCS/vcs-mx/O-2018.09-SP2/include -O  -fno-strict-aliasing    -c -o amcQwB.o amcQwB.c
  21. if [ -x ../simv ]; then chmod -x ../simv; fi
  22. g++-4.8  -o ../simv   /SynopsysSoftware/VCS/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o -lm -lc -pthread -ldl -Wl,-no-as-needed  -Wl,-rpath=/SynopsysSoftware/VCS/vcs-mx/O-2018.09-SP2/linux64/lib -L/SynopsysSoftware/VCS/vcs-mx/O-2018.09-SP2/linux64/lib    -Wl,-whole-archive    -lvcsucli -Wl,-no-whole-archive    objs/amcQw_u1_c.o objs/amcQw_d.o objs/mINIW_d.o amcQwB.o objs/mINIW_u1_c.o   SIM_l.o       rmapats_mop.o rmapats.o rmar.o rmar_nd.o  rmar_llvm_0_1.o rmar_llvm_0_0.o        -lzerosoft_rt_stubs -lvirsim -lerrorinf -lsnpsmalloc -lvfs     -lvcsnew -lsimprofile -luclinative /SynopsysSoftware/VCS/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_tls.o         
  23. /usr/bin/ld: /SynopsysSoftware/VCS/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o: in function `SNPSee_907e539fb4bc8f7101eac356af4ce4ed':
  24. ../../OBJ/lib/saverestoreobj/obj-linux64/kernel.o:(.text+0xc41): undefined reference to `pthread_yield'
  25. collect2: error: ld returned 1 exit status
  26. make: *** [Makefile:99: product_timestamp] Error 1
  27. Make exited with status 2
  28. cpu time: .136 seconds to compile + .133 seconds to elab + .159 seconds to link


复制代码



发表于 2022-5-24 10:42:32 | 显示全部楼层
太新了,没人用过。自己探索吧,我只能说20.04是好的。
 楼主| 发表于 2022-5-24 18:43:10 | 显示全部楼层


不会吧不会吧 发表于 2022-5-24 10:42
太新了,没人用过。自己探索吧,我只能说20.04是好的。


我是一路趟坑过来,终于这个我搞不定了
发表于 2022-5-25 04:22:36 | 显示全部楼层
Check this out
vcs -full64 -Mupdate=0 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,-no-as-needed,-lpthread tb_count.v count.v -R -timescale=1ns/1ns +v2k
发表于 2022-5-25 17:32:56 | 显示全部楼层


中文行吗 发表于 2022-5-24 18:43
我是一路趟坑过来,终于这个我搞不定了


试试gcc4.4版本。20.04也不能用4.8
发表于 2022-7-24 18:37:43 | 显示全部楼层
最后解决了吗老哥?
发表于 2022-8-14 22:48:04 | 显示全部楼层
解决了吗+1
发表于 2022-8-25 18:25:04 | 显示全部楼层
我也遇到了这个问题,似乎是Ubuntu 22.04底层的包太新了。 建议换20.04
发表于 2022-8-27 19:07:53 | 显示全部楼层


中文行吗 发表于 2022-5-24 18:43
我是一路趟坑过来,终于这个我搞不定了


大佬,我和你一样也是卡在这里了,dve和verdi都能跑,就是vcs编译不能生成simv文件,请问你解决了吗
发表于 2022-8-27 19:10:20 | 显示全部楼层
有大佬解决了吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 17:21 , Processed in 0.026961 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表