在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5112|回复: 6

[求助] Xcelium has problem to generate fsdb file

[复制链接]
发表于 2021-7-6 16:47:30 | 显示全部楼层 |阅读模式
100资产
大神求救,   裝了xcelium license 18.03版, 也設置好 LD_LIBRARY_PATH
setenv LD_LIBRARY_PATH $NOVAS_HOME/share/PLI/lib/LINUX64NOVAS_HOME/share/PLI/LINUX64NOVAS_HOME/share/PLI/IUS/   LINUX64NOVAS_HOME/share/PLI/VCS/LINUX64:$NOVAS_HOME/share/PLI/IUS/LINUX64/boot:$LD_LIBRARY_PATH

但是還是有以下 error message..

xrun(64): 18.03-s001: (c) Copyright 1995-2018 Cadence Design Systems, Inc.
xmelab: *W,LIBLDFL: Failed to load dynamic library debpli:
        debpli.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS64 library..
                Caching library 'worklib' ....... Done
        Elaborating the design hierarchy:
        Top level design units:
                test_dec8
  $fsdbDumpfile("./test_dec8.fsdb");
              |
xmelab: *W,MISSYST (./test_dec8.v,13|14): Unrecognized system task or function: $fsdbDumpfile (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
If item was defined in a shared-object library, the problem could be:
libvpi.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS64 library.
libpli.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS64 library..
  $fsdbDumpvars(0, test_dec8);
              |
xmelab: *W,MISSYST (./test_dec8.v,14|14): Unrecognized system task or function: $fsdbDumpvars (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
        Building instance overlay tables: .................... Done
        Building instance specific data structures.
        Loading native compiled code:     .................... Done
        Design hierarchy summary:
                                  Instances  Unique
                Modules:                 56       4
                Primitives:              54       2
                Registers:                3       3
                Scalar wires:            24       -
                Initial blocks:           2       2
                Pseudo assignments:       3       3
                Simulation timescale:  10ps
        Writing initial simulation snapshot: worklib.test_dec8:v
Loading snapshot worklib.test_dec8:v .................... Done
xmsim: *W,LIBLDFL: Failed to load dynamic library debpli:
        debpli.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS64 library..
  $fsdbDumpfile("./test_dec8.fsdb");
              |
xmsim: *E,MSSYSTF (./test_dec8.v,13|14): User Defined system task or function ($fsdbDumpfile) registered during elaboration and used within the simulation has not been registered during simulation.
  $fsdbDumpvars(0, test_dec8);
              |
xmsim: *E,MSSYSTF (./test_dec8.v,14|14): User Defined system task or function ($fsdbDumpvars) registered during elaboration and used within the simulation has not been registered during simulation.

 楼主| 发表于 2021-7-6 17:42:24 | 显示全部楼层
已解決!!! 謝謝
发表于 2021-8-13 21:39:20 | 显示全部楼层
请问如何解决的?我也遇到这个问题
发表于 2021-9-22 17:08:45 | 显示全部楼层
xrun 命令中加PLI的命令选项
-loadpli1 debpli:novas_pli_boot 这边目前是这样做的
发表于 2022-3-2 14:09:02 | 显示全部楼层


yllinf 发表于 2021-7-6 17:42
已解決!!! 謝謝


请问下解决方法,谢谢!

发表于 2022-4-25 17:48:54 | 显示全部楼层
:/ test
发表于 2023-6-8 18:18:02 | 显示全部楼层
厉害了!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 18:34 , Processed in 0.023010 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表