在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1394|回复: 2

[求助] dft_drc时报error,新手,咋改

[复制链接]
发表于 2020-5-7 16:06:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
扫描链插入成功了,想报覆盖率,但是执行DFT_drc  -coverage_estimate时报错,同类型的两个error,实在不知道咋改。以下是记录:
design_vision> dft_drc -coverage_estimate
In mode: Internal_scan...
  Design has scan chains in this mode
  Design is scan routed
  Post-DFT DRC enabled

Information: Starting test design rule checking. (TEST-222)
23:49:01 (snpslmd) OUT: "Test-DFTC-TMAX" root@localhost.localdomain  
  Loading test protocol
  ...basic checks...
  ...basic sequential cell checks...
  ...checking vector rules...
Error: illegal context (Procedure "multiclock_capture" is missing design clock "data_source" in clock parameters). (V8-1)
Error: illegal context (Procedure "allclock_capture" is missing design clock "data_source" in clock parameters). (V8-2)
Error: illegal context (Procedure "allclock_launch" is missing design clock "data_source" in clock parameters). (V8-3)
Error: illegal context (Procedure "allclock_launch_capture" is missing design clock "data_source" in clock parameters). (V8-4)
Error: miscounted items (Force clocks has 1 values instead of 2 in procedure "multiclock_capture"). (V10-1)
Error: miscounted items (Force clocks has 1 values instead of 2 in procedure "allclock_capture"). (V10-2)
Error: miscounted items (Force clocks has 1 values instead of 2 in procedure "allclock_launch"). (V10-3)
Error: miscounted items (Force clocks has 1 values instead of 2 in procedure "allclock_launch_capture"). (V10-4)
23:49:05 (snpslmd) IN: "Test-DFTC-TMAX" root@localhost.localdomain  
Error: Could not perform design rule checking. (TEST-1311)
0
新手求救~~~~


 楼主| 发表于 2020-5-8 09:52:47 | 显示全部楼层
顶顶
发表于 2020-11-13 16:24:18 | 显示全部楼层
请问这个问题楼主解决了吗,我也遇到了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 07:25 , Processed in 0.018000 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表