在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6044|回复: 10

[求助] DC逻辑综合时候怎么保留hierarchy,不让工具给flatten了

[复制链接]
发表于 2019-5-6 18:45:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
比如说一个模块下有几个子模块,如下wd_top模块下有两个子模块wd_apb,watchdog
wd_top.v
       wd_apb.v
       watchdog.v

在用DC逻辑综合的时候生成的网表的hierarchy只有顶层,没有子模块的,
我想看看每个模块生成什么样网表,又不想单个去综合
查了一下说工具给ungroup或者flatten了,
用了下面几个命令也不好用,有那个大哥知道怎么搞吗?

#remove_attribute [current_design ] flatten
#remove_attribute [current_design ] ungroup
#set_ungroup [current_design] false

set_flatten false -design [get_designs $DESIGN ]

hierarchy只有顶层,没有子模块的

hierarchy只有顶层,没有子模块的
 楼主| 发表于 2019-5-6 18:45:43 | 显示全部楼层
大哥谁会给看一下:)
发表于 2019-5-7 09:12:14 | 显示全部楼层
查查手册 在代码里加类似“*keep*”之类的约束
发表于 2019-5-7 10:10:26 | 显示全部楼层
这个在DC里默认是keep hierarchy的。
你可以看看你的compile/compile_ultra指令时,有没有带"ungroup"/“-no_autoungroup”等选项。
建议先man compile / man compile_ultra

回答完,推荐一个不错的数字IC前端/FPGA设计深度入门课。
http://bbs.eetop.cn/thread-854132-1-1.html
发表于 2019-5-7 16:05:50 | 显示全部楼层
set_flatten false
set_structure true
compile_ultra -no_autoungroup
 楼主| 发表于 2019-5-13 10:27:17 | 显示全部楼层


IC.Michael 发表于 2019-5-7 09:12
查查手册 在代码里加类似“*keep*”之类的约束


谢谢
 楼主| 发表于 2019-5-13 10:28:48 | 显示全部楼层


杰克淡定 发表于 2019-5-7 16:05
set_flatten false
set_structure true
compile_ultra -no_autoungroup


谢谢
发表于 2019-5-13 14:56:27 | 显示全部楼层
可与贴出来你dc约束那一块的code。这样才能看到为什么被自动ungroup或者flatten了,正常是不应该的,工具只会你写什么就综合什么。还有就是例化关系是否出错了。再一个看看你代码中define的一些参数是否在综合时没有起到应有的作用,我记得这个也会让综合工具自动把模块给丢弃。
发表于 2019-8-29 17:31:13 | 显示全部楼层
ungroup -all -flatten
或者
compile_ultra不带no_autoungroup这个选项
发表于 2019-9-23 11:40:56 | 显示全部楼层
mark 一下 dc hierarchy相关
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 10:35 , Processed in 0.034342 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表