在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 43721|回复: 147

[原创] Xcelium/Jaspergold License

[复制链接]
发表于 2019-4-19 00:48:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Hello everyone,
I am sharing the latest platform version of the Xcelium license (it also works with older versions).

First, generate a FlexLM license using lmcrypt. These are the characteristics of Xcelium:
Xcelium_Limited_Single_Core
XceliumLimitedSingleCoreLegacy
Xcelium_Single_Core_Legacy
Xcelium_Safety
Xcelium_Multi_Core
Xcelium_For_Partners

Then, use sfk to patch the file.




  1. <font style="vertical-align: inherit;"><font style="vertical-align: inherit;">-bin / 41574989ff4156415541544989cc55534489c34881ecf80000004889742420be140000008954241c4c894c24108bac2438010000c78424ec00000000000000e8 / 31c0c389ff4156415541544989cc55534489c34881ecf80000004889742420be140000008954241c4c894c24108bac2438010000c78424ec00000000000000e8 / -bin / 41574989ff415641554154554889cd534489c34881ec08010000488b87900200004889742428be14000000895424244c894c2418488b80381e0000448ba0300600004585e4440f44a42448010000e8 / 31c0c389ff415641554154554889cd534489c34881ec08010000488b87900200004889742428be14000000895424244c894c2418488b80381e0000448ba0300600004585e4440f44a42448010000e8 /</font></font>


复制代码



Please enjoy




  1. <font style="vertical-align: inherit;"><font style="vertical-align: inherit;">Loading snapshot worklib.test:sv .................... Done </font></font>
  2. <font style="vertical-align: inherit;"><font style="vertical-align: inherit;">xmsim: *W, DSEM2009: This Systemverilog design is simulated as per IEEE 1800-2009 SystemVerilog simulation semantics. Use -disable_sem2009 option for turning off SV 2009 simulation semantics. </font></font>
  3. <font style="vertical-align: inherit;"><font style="vertical-align: inherit;">xcelium> source ../Titan/Cadence/XCELIUM/XCELIUM1903/tools/xcelium/files/xmsimrc </font></font>
  4. <font style="vertical-align: inherit;"><font style="vertical-align: inherit;">xcelium> run </font></font>
  5. <font style="vertical-align: inherit;"><font style="vertical-align: inherit;">Xcelium test </font></font>
  6. <font style="vertical-align: inherit;"><font style="vertical-align: inherit;">xmsim: *W,RNQUIE: Simulation is complete. </font></font>
  7. <font style="vertical-align: inherit;"><font style="vertical-align: inherit;">xcelium > exit</font></font>


复制代码


I didn't explain all the reasons, so you may need to search for other posts here just in case.
Use it only for evaluation purposes, if you plan to do something that generates revenue, buy it from Cadence.






Float_Cadence_LicGen_xcelium.tar

618.85 KB, 下载次数: 2153 , 下载积分: 资产 -2 信元, 下载支出 2 信元

Licgen

发表于 2019-4-19 08:02:48 | 显示全部楼层
非常感谢!
发表于 2019-4-19 08:47:46 | 显示全部楼层
非常感谢!
发表于 2019-4-19 09:07:42 | 显示全部楼层
RE: Xcelium/Jaspergold License [
发表于 2019-4-20 13:05:28 | 显示全部楼层
great
发表于 2019-4-20 13:08:51 | 显示全部楼层
great
发表于 2019-4-21 18:56:02 | 显示全部楼层
thanks
发表于 2019-4-22 08:38:47 | 显示全部楼层
需不需要启动lmgrd?
发表于 2019-4-22 09:10:39 | 显示全部楼层
对于INCISIV 把SERVER、DAEMON和USE_SERVER这三行去掉后 可以不需要启动lmgrd
但是XCELIUM 去掉三行后报-8的错误 如果保留那三行启动lmgrd 会报-97的错误
请大神帮忙看一下
发表于 2019-4-22 09:32:49 | 显示全部楼层
MARK 一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 06:56 , Processed in 0.037065 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表