在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: dogor1

[原创] Xcelium/Jaspergold License

[复制链接]
发表于 2019-12-25 16:58:47 | 显示全部楼层
Nice, will it work with IMC or VManagger?
发表于 2019-12-25 20:34:51 | 显示全部楼层


j_smt 发表于 2019-12-25 16:58
Nice, will it work with IMC or VManagger?


not yet
发表于 2020-1-12 19:55:27 | 显示全部楼层
thank you very much.
发表于 2020-1-15 13:56:43 | 显示全部楼层
Thank you.
发表于 2020-2-8 11:42:41 | 显示全部楼层
测试可用。xcelium破解后可以用来编译vivado的库文件然后仿真
发表于 2020-2-15 11:14:13 | 显示全部楼层
可以用,多谢
发表于 2020-3-4 17:52:38 | 显示全部楼层
thanks
发表于 2020-4-8 18:32:20 | 显示全部楼层
thanks a lot.
发表于 2020-4-8 19:42:08 | 显示全部楼层


请问对比过多线程仿真速度吗?是否有明显提高?
发表于 2020-4-16 20:19:14 | 显示全部楼层
感谢楼主
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 15:03 , Processed in 0.026559 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表