在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 18797|回复: 30

[原创] ICC2都还没上手,新的后端工具Fusion compiler又出来了

[复制链接]
发表于 2019-3-9 17:19:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 真我个性 于 2019-3-9 17:20 编辑

上传份新EDA的datasheet和一个官网链接介绍,一起来感受下业界的最新变化!

Capture.PNG fusion-compiler-datasheet.pdf (301.18 KB, 下载次数: 277 )

https://www.synopsys.com/implementation-and-signoff/physical-implementation/fusion-compiler.html

2018年11月12日Synopsys宣布,推出创新性的RTL-to-GDSII产品Fusion Compiler。通过把新型高容量综合技术Design compiler与IC Compiler II行业领先的布局布线技术相结合,Fusion Compiler能够更好地预测QoR。该架构能够在RTL-to-GDSII流程中共享技术,从而形成一套高度收敛的系统,能够将QoR提升20%,TTR缩短2倍。Fusion Compiler是业界唯一的RTL-to-GDSII产品,采用统一、可扩展的数据模型,搭载同类最佳优化引擎,以及基于业界golden signoff工具的分析能力。

东芝高级副总裁Seiichi Mori表示:“东芝一直专注于加强从时序设计到物理设计的产品开发过程。我们在芯片设计上评估了Fusion Compiler工具,获得了非常好的结果,现在正在将其部署到真实的设计中。这项技术的强大功能对于设计未来的基于Fin FET的汽车电子至关重要。得益于Fusion Compiler,东芝实现了预定的设计目标并完成了流片。与传统技术相比较,采用Fusion Compiler时序违规减少了33%、面积减少了10%、泄漏功耗减少了30%,同时TTR缩短了一半。我们已经完成了Fusion Compiler在东芝设计环境中的集成,并将其部署到即将推出的芯片设计中。”
发表于 2019-3-12 13:27:42 | 显示全部楼层
唉,这日子还怎么混啊,工具都不会用。。。
发表于 2019-3-24 15:05:54 | 显示全部楼层
学校还在用 ICC + SMIC 0.13 呢......和产业界的差距越拉越大...
发表于 2019-3-25 17:17:37 | 显示全部楼层
加油学习,希望跟上脚步
发表于 2019-3-25 17:23:19 | 显示全部楼层
sounds good
发表于 2019-6-2 19:54:34 | 显示全部楼层
你放心,跟ICC2一样的。
S很没诚意
发表于 2019-9-29 22:01:14 | 显示全部楼层
多謝分享
发表于 2020-3-15 11:39:25 | 显示全部楼层
Wow new learning Tnx
发表于 2020-4-24 16:03:36 来自手机 | 显示全部楼层
Looking good
发表于 2020-5-31 04:43:21 | 显示全部楼层
thanks for sharing
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-9 06:50 , Processed in 0.026214 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表