在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1881|回复: 5

[求助] ICC怎么给非时钟信号做tree

[复制链接]
发表于 2019-2-14 11:12:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
新人求教:
现在有个非时钟信号 spi_cs需要做tree(SDC里面没有定义为CLOCK),如果直接在CTS阶段让tool做的话会报warning, 321.png 322.png ,求大佬们指点一下,这种情况下,需要怎么才能给这种信号做tree,听说可以做buffer tree,但是需要某个setting让ICC把这类信号认定为是CLOCK,但是具体不知道怎么操作,或者说还有其他的办法?求指教,先谢谢哈!
发表于 2019-2-15 16:42:39 | 显示全部楼层
帮楼主顶,顺便学习下!
发表于 2019-2-18 10:57:42 | 显示全部楼层
1. set_clock_tree_options 是对sdc中已经定义的时钟去设置transiton  cap skew等,你sdc中都没定义spi_cs这个时钟,肯定会报warning
2.buffer_tree是工具place_opt时工具自动做的,解决fanout transion等,像复位信号
3.如果你spi_cs是一个高扇出的信号,place_opt时会自动做buffer_tree的
你的给非时钟信号做tree本是个伪命题,你得说你想干嘛,为啥要做把spi_cs做tree
 楼主| 发表于 2019-2-20 14:53:02 | 显示全部楼层
回复 3# learnsure
谢谢回答!
    这是设计中的一个要求,这个spi_cs和spi_ck 这两组信号之间他们要求有个skew(相当于异步信号,两个信号需要有个时间差),由于现阶段这两组信号间的skew比较大,所以他们说要不在cts阶段,在给spi_cs做个tree试试。
    我现在是尝试自己在CTS的脚本里面给cs定义为一个clock,然后抓所有cs的fanout,发现fanout不是ck pin,所以给这些pin 又加了个stop pin。不知道这样是否可行。
发表于 2019-2-20 16:53:26 | 显示全部楼层
回复 4# bingaijia

可行;
做完后根据skew,修补一下
 楼主| 发表于 2019-2-27 15:53:34 | 显示全部楼层
回复 5# ghostfacer


    谢谢大佬
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 01:24 , Processed in 0.029398 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表