在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3778|回复: 9

前仿真与后仿真(VHDL)

[复制链接]
发表于 2003-8-10 23:47:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
前仿真的结果与后仿真的结果差别很大,有点无所适从。例如
entity test is
port
(
clk:in std_logic;
x:in std_logic;
yut std_logic
)
end;
architectur rtl of test is
begin
process(clk)
begin
if clk'event and clk = '1' then
y <= x;
end if;
end process;
end;
前仿真时,y在时钟上沿随 x改变,但在后仿真时却要等一个时钟才有变化。我应该如何看待或处理这个问题?
发表于 2003-8-11 09:32:01 | 显示全部楼层

前仿真与后仿真(VHDL)

只可能是你代码写的不对。时钟沿触发的话就是下一个时钟来时才表现出来。
发表于 2003-8-11 14:42:58 | 显示全部楼层

前仿真与后仿真(VHDL)

前仿真是功能仿真吧,所以在clk的上升沿输出就立刻变化
后仿真是时序仿真吧,所以虽然输出在clk的上升沿变化,
但是有一定的延迟,差不多一个时钟周期吧(10ns)
发表于 2003-8-12 09:57:22 | 显示全部楼层

前仿真与后仿真(VHDL)

前仿真是功能仿真,没有考虑信号的延时;后仿真是时序仿真,已将各种延时加了进去.不一样是正常的.
发表于 2003-8-12 10:15:05 | 显示全部楼层

前仿真与后仿真(VHDL)

但应该不影响你的时序要求,否则就是你代码
写的有问题。
发表于 2003-8-12 10:30:10 | 显示全部楼层

前仿真与后仿真(VHDL)

前仿真是功能仿真,没有考虑信号的延时;后仿真是时序仿真,已将各种延时加了进去.不一样是正常的.
发表于 2003-8-18 11:15:51 | 显示全部楼层

前仿真与后仿真(VHDL)

后仿真是有延时,但不应该超过一个时钟周期
发表于 2003-8-18 13:23:16 | 显示全部楼层

前仿真与后仿真(VHDL)

时钟太快了^_^
发表于 2003-9-1 19:40:57 | 显示全部楼层

前仿真与后仿真(VHDL)

做一下时序分析阿,是不是什么地方时序不满足要求
发表于 2006-8-19 11:02:03 | 显示全部楼层
前仿真代码要加dly
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 03:04 , Processed in 0.038970 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表