在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: xurunze

[求助] VCS和vlogan的区别

[复制链接]
发表于 2021-3-10 21:25:38 | 显示全部楼层


xiangeryong333 发表于 2021-2-27 12:46
你好,请教一下:

     我正在编译一个文件,用vlogan编译没问题,但是用vcs编译就有问题,可能是啥原因 ...


vlogan只是编译。vcs是编译+elab。

估计是elab的时候错了。没有错误信息,很难看啦。
发表于 2021-7-20 10:01:44 | 显示全部楼层


xurunze 发表于 2018-1-28 23:21
回复 2# yuanpin318


不需要,vcs可以直接编译v和sv。
发表于 2021-10-9 09:27:22 | 显示全部楼层


yuanpin318 发表于 2018-1-29 10:20
一般3 step都是mix language, 指的是VHDL+Verilog.
Systemverilog 算是verilog的最新发展。好像vcs compil ...


最近遇到一种情况,设计中有.v和.sv,本来是可以用+sv的命令编译的,但是.v中用到了一个sv的关键字,导致用sv编译时语法报错。
这种情况下还是需要用到分步编译。
发表于 2021-10-29 17:35:27 | 显示全部楼层
前仿只有在VHDL与verilog共同使用时才会使用vlogan vhdlan ,其他情况直接使用vcs即可,置于sv的担心,在编译选项中添加:  -sverilog即可
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 06:31 , Processed in 0.021065 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表